Probabilistic Verification in Computational Systems DesignIosif ItkinSergey Frenkel, Victor Zakharov, Vladimir Ushakov, IPI RAS, Lomonosov Moscow State University, Moscow
TMPA-2013 Anureyev: On the Road to Technology of Developing the Means of Dedu...Iosif ItkinTMPA-2013 Conference in Kostroma
Anureyev, I., A.P.Ershov Institute of Informatics Systems
On the Road to Technology of Developing the Means of Deductive Program Verification
ПВТ - весна 2015 - Лекция 1. Актуальность параллельных вычислений. Анализ пар...Alexey PaznikovЛЕКЦИЯ 1. Актуальность параллельных вычислений. Анализ параллельных алгоритмов. Многоядерные вычислительные систем с общей памятью
Курс "Параллельные вычислительные технологии" (ПВТ), весна 2015
Сибирский государственный университет телекоммуникаций и информатики
Пазников Алексей Александрович
к.т.н., доцент кафедры вычислительных систем СибГУТИ
http://cpct.sibsutis.ru/~apaznikov
ПВТ - весна 2015 - Лекция 2. POSIX Threads. Основные понятия многопоточного п...Alexey PaznikovЛЕКЦИЯ 2. POSIX Threads. Жизненный цикл потоков. Планирование. Синхронизация
Курс "Параллельные вычислительные технологии" (ПВТ), весна 2015
Сибирский государственный университет телекоммуникаций и информатики
Пазников Алексей Александрович
к.т.н., доцент кафедры вычислительных систем СибГУТИ
http://cpct.sibsutis.ru/~apaznikov
ПВТ - весна 2015 - Лекция 4. Шаблоны многопоточного программированияAlexey PaznikovЛЕКЦИЯ 4. Шаблоны многопоточного программирования
Курс "Параллельные вычислительные технологии" (ПВТ), весна 2015
Сибирский государственный университет телекоммуникаций и информатики
Пазников Алексей Александрович
к.т.н., доцент кафедры вычислительных систем СибГУТИ
http://cpct.sibsutis.ru/~apaznikov
ПВТ - весна 2015 - Лекция 8. Многопоточное программирование без использования...Alexey PaznikovЛЕКЦИЯ 8. Многопоточное программирование без использования блокировок. Модель потребитель-производитель. Потокобезопасный стек. Проблема ABA. Указатели опасности.
Курс "Параллельные вычислительные технологии" (ПВТ), весна 2015
Сибирский государственный университет телекоммуникаций и информатики
Пазников Алексей Александрович
к.т.н., доцент кафедры вычислительных систем СибГУТИ
http://cpct.sibsutis.ru/~apaznikov
Евгений Зуев, С++ в России: Стандарт языка и его реализацияPlatonov SergeyДоклад посвящён различным аспектам компилятора С++, созданного с участием автора. В выступлении рассказывается о продвинутой архитектуре компилятора, основных проектных решениях, а также обсуждаются особенности входного языка, повлиявшие на реализацию компилятора.
2011 general research000175031Dave Martin is researching 15th and 16th century explorers Vasco da Gama and his first voyage for a history class. Some key points about da Gama's voyage according to Dave's research:
- In 1497, da Gama led a fleet of four ships with 170 men from Portugal on a journey to reach India by sailing around Africa, opening new trade routes. Only two ships and 55 crew members returned, with high risks of disease and death.
- Da Gama faced the dangerous Cape of Good Hope where ocean currents meet violently, illustrating the immense difficulties of the voyage. Medicine was also primitive, exacerbating health risks.
- Motivations for these voyages included fame, fortune for
LapLim WallaceThe document discusses the history of black discrimination from ancient Africa to the Civil Rights Movement in the United States. It describes how slavery began with tribes capturing enemies and selling them to Dutch traders. The Civil War abolished slavery but Jim Crow laws later enforced racial segregation. The Civil Rights Movement opposed these discriminatory policies through protests like the Montgomery Bus Boycott. Figures like Harper Lee addressed these issues of racial injustice in works like "To Kill a Mockingbird".
2Chandrakant ShindeThis document provides an overview of software testing concepts for beginner software testers. It discusses key concepts like software quality assurance, software quality control, requirements gathering, reviews, design, programming, testing techniques like black box testing and white box testing, integration testing, system testing, user acceptance testing, and release and maintenance. Testing responsibilities are mapped to different phases and techniques that testing teams can use at each phase like walkthroughs, inspections, and regression testing. The document aims to give beginners a comprehensive understanding of the software testing process.
SME in ArubaJoost JacobsThe document discusses management in small and medium enterprises (SMEs) and outlines an educational activity. It introduces entrepreneurship and its importance for sustainable economic growth in Aruba. The activity tasks students with learning about entrepreneurship in Aruba by creating a business plan to build their own company. It describes the teacher's role in guiding students through the process and providing resources for the task. Students' work will be evaluated based on a final written/oral report or presentation. Effective activities include mechanisms for student reflection like surveys or emails.
2011 general research000175031Vasco da Gama was a 16th century Portuguese explorer who led the first European voyage to reach India by sea. The document provides details about da Gama's 1497 voyage, which had four ships and 170 crew members but only two ships and 55 crew returned, demonstrating the high mortality rates of these early voyages. It discusses the dangers sailors faced from disease, starvation and other threats without modern medicine and hygiene practices.
TMPA-2013 Anureyev: On the Road to Technology of Developing the Means of Dedu...Iosif ItkinTMPA-2013 Conference in Kostroma
Anureyev, I., A.P.Ershov Institute of Informatics Systems
On the Road to Technology of Developing the Means of Deductive Program Verification
ПВТ - весна 2015 - Лекция 1. Актуальность параллельных вычислений. Анализ пар...Alexey PaznikovЛЕКЦИЯ 1. Актуальность параллельных вычислений. Анализ параллельных алгоритмов. Многоядерные вычислительные систем с общей памятью
Курс "Параллельные вычислительные технологии" (ПВТ), весна 2015
Сибирский государственный университет телекоммуникаций и информатики
Пазников Алексей Александрович
к.т.н., доцент кафедры вычислительных систем СибГУТИ
http://cpct.sibsutis.ru/~apaznikov
ПВТ - весна 2015 - Лекция 2. POSIX Threads. Основные понятия многопоточного п...Alexey PaznikovЛЕКЦИЯ 2. POSIX Threads. Жизненный цикл потоков. Планирование. Синхронизация
Курс "Параллельные вычислительные технологии" (ПВТ), весна 2015
Сибирский государственный университет телекоммуникаций и информатики
Пазников Алексей Александрович
к.т.н., доцент кафедры вычислительных систем СибГУТИ
http://cpct.sibsutis.ru/~apaznikov
ПВТ - весна 2015 - Лекция 4. Шаблоны многопоточного программированияAlexey PaznikovЛЕКЦИЯ 4. Шаблоны многопоточного программирования
Курс "Параллельные вычислительные технологии" (ПВТ), весна 2015
Сибирский государственный университет телекоммуникаций и информатики
Пазников Алексей Александрович
к.т.н., доцент кафедры вычислительных систем СибГУТИ
http://cpct.sibsutis.ru/~apaznikov
ПВТ - весна 2015 - Лекция 8. Многопоточное программирование без использования...Alexey PaznikovЛЕКЦИЯ 8. Многопоточное программирование без использования блокировок. Модель потребитель-производитель. Потокобезопасный стек. Проблема ABA. Указатели опасности.
Курс "Параллельные вычислительные технологии" (ПВТ), весна 2015
Сибирский государственный университет телекоммуникаций и информатики
Пазников Алексей Александрович
к.т.н., доцент кафедры вычислительных систем СибГУТИ
http://cpct.sibsutis.ru/~apaznikov
Евгений Зуев, С++ в России: Стандарт языка и его реализацияPlatonov SergeyДоклад посвящён различным аспектам компилятора С++, созданного с участием автора. В выступлении рассказывается о продвинутой архитектуре компилятора, основных проектных решениях, а также обсуждаются особенности входного языка, повлиявшие на реализацию компилятора.
2011 general research000175031Dave Martin is researching 15th and 16th century explorers Vasco da Gama and his first voyage for a history class. Some key points about da Gama's voyage according to Dave's research:
- In 1497, da Gama led a fleet of four ships with 170 men from Portugal on a journey to reach India by sailing around Africa, opening new trade routes. Only two ships and 55 crew members returned, with high risks of disease and death.
- Da Gama faced the dangerous Cape of Good Hope where ocean currents meet violently, illustrating the immense difficulties of the voyage. Medicine was also primitive, exacerbating health risks.
- Motivations for these voyages included fame, fortune for
LapLim WallaceThe document discusses the history of black discrimination from ancient Africa to the Civil Rights Movement in the United States. It describes how slavery began with tribes capturing enemies and selling them to Dutch traders. The Civil War abolished slavery but Jim Crow laws later enforced racial segregation. The Civil Rights Movement opposed these discriminatory policies through protests like the Montgomery Bus Boycott. Figures like Harper Lee addressed these issues of racial injustice in works like "To Kill a Mockingbird".
2Chandrakant ShindeThis document provides an overview of software testing concepts for beginner software testers. It discusses key concepts like software quality assurance, software quality control, requirements gathering, reviews, design, programming, testing techniques like black box testing and white box testing, integration testing, system testing, user acceptance testing, and release and maintenance. Testing responsibilities are mapped to different phases and techniques that testing teams can use at each phase like walkthroughs, inspections, and regression testing. The document aims to give beginners a comprehensive understanding of the software testing process.
SME in ArubaJoost JacobsThe document discusses management in small and medium enterprises (SMEs) and outlines an educational activity. It introduces entrepreneurship and its importance for sustainable economic growth in Aruba. The activity tasks students with learning about entrepreneurship in Aruba by creating a business plan to build their own company. It describes the teacher's role in guiding students through the process and providing resources for the task. Students' work will be evaluated based on a final written/oral report or presentation. Effective activities include mechanisms for student reflection like surveys or emails.
2011 general research000175031Vasco da Gama was a 16th century Portuguese explorer who led the first European voyage to reach India by sea. The document provides details about da Gama's 1497 voyage, which had four ships and 170 crew members but only two ships and 55 crew returned, demonstrating the high mortality rates of these early voyages. It discusses the dangers sailors faced from disease, starvation and other threats without modern medicine and hygiene practices.
http://chiropractor.inedwardsvilleillinois.comjknight22Our chiropractic clinic specializes in drug-free treatment of back and neck pain through spinal manipulation and assessments of total health, with experienced chiropractors qualified at national and state levels. We provide professional chiropractic care and on-site massage therapy for patients of all ages, including children in various sports, with convenient business hours and acceptance of most insurance plans and payment options.
CRB Fotos Infantis Nelson PortelaEste documento contiene una lista de nombres de jugadores infantiles con sus números y fechas de nacimiento. También incluye los nombres de dos entrenadores y las etiquetas "EQUIPAA" y "EQUIPAB" al final.
Identidad Corporativa MetáforainteresasorianoIdentidad Corporativa para Metáfora PUB
Client based thinkingmiekearubaThis document outlines an assignment for students to observe a public organization and evaluate how client-focused their services are. Students are instructed to choose a public organization, observe their service delivery, and write a report analyzing the organization's level of client-based thinking. The document provides tasks and an introduction, but notes that the process and resources will be determined later.
Building a Sustainable BusinessKerr Center for Sustainable AgricultureThe document provides worksheets for planning workforce needs, compensation, management strategies, and human resources expenses for a farm or agricultural business. It includes sections to identify new positions needed and skills required, standard wages and benefits, management responsibilities, training requirements, and costs associated with human resources like wages, benefits, and taxes. The overall goal is to develop a comprehensive human resources strategy to meet the labor needs of the business now and in the future.
Comac: extrusion technology for plasticsPietro ZanottoCOMAC is an Italian company that has been developing extrusion technology for plastics since 1978. They produce twin screw extruders and specialized equipment for processing polymers and plastic compounds. COMAC works with customers to design efficient and profitable extrusion lines tailored to their needs and applications. Their goal is to offer advanced technological solutions and expertise in extrusion processing.
4. Транспортная и инерционная задержки (1)
VHDL предлагает два типа задержек: транспортную и
инерционную.
Транспортная соответствует модели задержек на
проводниках схемы. Выходной сигнал повторяет в этом
случае форму входного сигнала с задержкой на указанное
время.
Синтаксис:
signal_name <= transport expression after delay-time
Инерционная моделирует задержку на вентилях или других
устройствах, не пропускающих короткие импульсы
входного сигнала на выход. Это задержка используется по
умолчанию.
Синтаксис:
signal_name <= reject pulse-width [ inertial ] expression after
delay-time
4
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
5. Транспортная и инерционная задержки (2)
Z1<= transport X after 10 ns; -- транспортная задержка
Z2 <= X after 10 ns; -- инерционная задержка
Z3 <= reject 4 ns inertial X after 10 ns; -- задержка с заданной
длиной
-- отраженных импульсов
10 ns 3 ns 5 ns
X 10 ns
2 ns
Z1
Z2
Z3
0 10 20 30 40 50
5
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
6. Транспортная и инерционная задержки (3)
В общем случае, использование reject представляет
собой совмещение инерционной и транспортной
задержек. Например, выражение
Z3 <= reject 4 ns inertial X after 10 ns
может быть заменено следующими параллельными
операторами:
использует инерционную задержку, отражающую
короткие импульсы
Zm <= X after 4 ns;
Транспортная задержка равна 6 ns, тогда общая
задержка равна 10 ns
Z3 <= transport Zm after 6 ns;
6
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
7. Драйвер сигнала
entity simulation_example is Значения Текущее
в очереди значение
end simulation_example;
architecture testi of После разработки A
simulation_example is '0'
time = 0 '0' B
signal A,B: bit;
begin
P1: process(B)
begin
A <= '1';
A <= transport '0' after 5 ns;
end process P1;
P2: process(A)
begin
if A = '1' then
B <= not B after 10 n
end if;
end process P2 ;
end testi;
7
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
8. Драйвер сигнала
entity simulation_example is
end simulation_example;
architecture testi of
simulation_example is
signal A,B: bit;
begin
P1: process(B)
begin
A <= '1';
A <= transport '0' after 5 ns;
end process P1;
P2: process(A)
begin
if A = '1' then
B <= not B after 10 n
end if;
end process P2 ;
end testi;
8
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
9. Драйвер сигнала
entity simulation_example is
end simulation_example;
architecture testi of
simulation_example is
signal A,B: bit;
begin
P1: process(B)
begin
A <= '1';
A <= transport '0' after 5 ns;
end process P1;
P2: process(A)
begin
if A = '1' then
B <= not B after 10 n
end if;
end process P2 ;
end testi;
9
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
10. Драйвер сигнала
entity simulation_example is
end simulation_example;
architecture testi of
simulation_example is
signal A,B: bit;
begin
P1: process(B)
begin
A <= '1';
A <= transport '0' after 5 ns;
end process P1;
P2: process(A)
begin
if A = '1' then
B <= not B after 10 n
end if;
end process P2 ;
end testi;
10
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
11. Драйвер сигнала
entity simulation_example is
end simulation_example;
architecture testi of
simulation_example is
signal A,B: bit;
begin
P1: process(B)
begin
A <= '1';
A <= transport '0' after 5 ns;
end process P1;
P2: process(A)
begin
if A = '1' then
B <= not B after 10 n
end if;
end process P2 ;
end testi;
11
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
12. Драйвер сигнала
entity simulation_example is
end simulation_example;
architecture testi of
simulation_example is
signal A,B: bit;
begin
P1: process(B)
begin
A <= '1';
A <= transport '0' after 5 ns;
end process P1;
P2: process(A)
begin
if A = '1' then
B <= not B after 10 n
end if;
end process P2 ;
end testi;
12
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
13. Драйвер сигнала
entity simulation_example is
end simulation_example;
architecture testi of
simulation_example is
signal A,B: bit;
begin
P1: process(B)
begin
A <= '1';
A <= transport '0' after 5 ns;
end process P1;
P2: process(A)
begin
if A = '1' then
B <= not B after 10 n
end if;
end process P2 ;
end testi;
13
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
14. Правило формирования очереди
будущих значений сигнала
Для транспортной задержки. Пусть в момент времени T
выполняется последовательный оператор назначения сигнала
A <= transport B after Tnew ns;
В очередь драйвера заносится B со временем T+Tnew
(B@T+Tnew). При этом из очереди будут удалены все значения,
которые сигнал должен был получить в момент T+Tnew и
позже.
Для инерционной задержки. Пусть в момент времени T
выполняется последовательный оператор назначения сигнала
A <= reject Tr inertial B after Tnew ns;
где Tr – полоса пропускания сигнала (импульсы, короче Tr,
отбрасываются).
Тогда из очереди удаляются все значения, которые сигнал должен
был получить в момент времени T+Tnew и позже. Затем
анализируются будущие в диапазоне времени T+(Tnew-Tr) и
T+Tnew. Из них в очереди остаются только будущие значения
сигнала, непосредственно предшествующие и равные
заносимому, остальные удаляются.
14
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
15. Пример формирования очереди
Пусть очередь драйвера сигнала А содержит несколько
будущих значений. В момент времени 10 ns выполняется
последовательный оператор назначения:
A <= reject 5 ns inertial '1' after 8 ns;
Очередь драйвера до выполнения оператора
'1'@18 ns Новое значение
'1'@11 ns 'X'@12 ns '1'@14 ns '0'@15 ns '1'@16 ns '1'@17 ns '1'@20 ns '0'@25 ns
Остаются Остаются Удаляются
Интервал отражения сигналов (13 ns -- 18 ns)
Очередь драйвера после выполнения оператора
'1'@11 ns 'X'@12 ns '1'@16 ns '1'@17 ns ' 1 '@18 ns
15
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
16. Пример 1 Пример 2
Допустим, что следующие Допустим, что следующие
последовательные операторы последовательные операторы
выполняются в момент T: выполняются в момент T:
A <= transport B after 1 ns; A <= transport B after 2 ns;
A <= transport C after 2 ns; A <= transport C after 1 ns;
1 B @ T+ 2 ns
B @ T+ 1 ns C @ T+ 2 ns 2 B @ T+ 2 ns C @ T+ 1 ns
3 C @ T+ 1 ns
Пример 3
Рассморим последовательные операторы, выполняемые в момент
времени T:
A <= B after 1 ns; -- используется инерционная задержка
A <= C after 2 ns; -- используется инерционная задержка
1 B @ T+ 1 ns
2 B @ T+ 1 ns C @ T+ 2 ns
3 C @ T+ 2 ns
16
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
17. Оператор wait без параметра
architecture test of test is
signal test0, test1: bit;
begin
process is begin
test0<='0' after 10 ns, '1' after 20 ns, '0' after 30 ns, '1' after 40 ns;
test1<= '0' after 10 ns, '1' after 30 ns;
wait;
end process;
end;
architecture test of test is
signal test0, test1: bit;
begin
process is begin
test0<='0' after 10 ns, '1' after 20 ns, '0' after 30 ns, '1' after 40 ns;
test1<= '0' after 10 ns, '1' after 30 ns;
wait for 40 ns;
end process;
end;
17
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
18. Выводы
1. В VHDL существует два типа задержек:
транспортная и инерционная.
2. Транспортная задержка моделирует
поведение соединительных линий схемы, а
инерционная – задержки на элементах.
3. Для каждого сигнала получающего
значение создается драйвер, управляющей
текущим и очередью будущих значений
сигнала.
4. Если в процессе для одного сигнала
записано несколько операторов назначения
сигнала, последний все равно имеет только
один драйвер.
18
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
19. Контрольные вопросы и задания (1)
1. Какие из приведенных результатов можно получить, моделируя
устройство заданное VHDL кодом?
entity INV is
port (A :in BIT; Y :out BIT);
end entity INV; A A A
architecture INV of INV is a) b) c)
Y Y Y
begin 5 ns 5 ns 5 ns
Y <= transport A after 5 ns;
end architecture INV;
2. Какие из приведенных результатов можно получить, моделируя
устройство заданное VHDL кодом?
entity INV is
port (A :in BIT; Y :out BIT);
end entity INV;
architecture INV of INV is a) b) c)
begin A
A A
Y <= A after 5 ns; Y
Y Y
end architecture INV; 5 ns
5 ns
5 ns
19
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
20. Контрольные вопросы и задания (2)
3. В следующем VHDL-процессе A, B, C и D – целые числа, которые имеют
значение 0 в момент времени 10 ns. Сигнал E переключается с '0' в '1' в момент
20 ns. Определить время изменения каждого сигнала и получаемые им
значения. Перечислить эти изменения в хронологическом порядке (20, 20 + ,
20 + 2 , ... .):
a) b) c)
p1: process p1: process p1: process
begin begin begin
wait on E; wait on E; wait on E;
A <= 1 after 5 ns ; A <= 1 after 5 ns ; A <= 1 after 5 ns ;
B <= A + 1; B <= A + 1; B <= A + 1;
C <= B after 10 ns; C <= B after 10 ns; C <= B after 10 ns;
wait for 0 ns ; wait for 10 ns ; wait for 0 ns ;
D <= B after 3 ns; D <= B after 3 ns; D <= B after 3 ns;
A <= A + 5 after 15 ns; A <= A + 5 after 15 ns; transport A <= A + 5 after 15ns;
B <= B + 7; B <= B + 7; B <= B + 7;
end process pi; end process pi; end process pi;
20
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
21. Контрольные вопросы и задания (3)
4. Указать транзакции, занесенные в драйвер, при выполнении
следующих операторов и значения, получаемые z во время
моделирования:
z <= transport '1' after 6 ns;
wait for 3 ns;
z <= transport '0' after 4 ns;
wait for 5 ns;
z <= transport '1' after 6 ns;
wait for 1 ns;
z <= transport '0' after 4 ns;
5. Какое будет иметь значение сигнал Е по окончании
моделирования устройства.
entity TEST is process (A,B,C)
end entity TEST; begin
architecture TEST of TEST is E <= 3 + C;
signal A,B,C : INTEGER :=1; E <= A + B;
signal E : INTEGER range 0 to 15; end process;
begin end architecture TEST;
21
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
22. Контрольные вопросы и задания (4)
6. Какое будет иметь значение 7. Допустим, что A=B=1. Cигнал A
сигнал S после инициализации измениться из 1 в 0 в момент
и по окончании моделирования. времени 35 ns. Сколько циклов
моделирования будет
library IEEE; выполнено в этот момент
времени.
use IEEE.STD_LOGIC_1164.all; entity TEST is
port (A: in BIT);
entity TEST is end entity;
end entity TEST;
architecture TEST of TEST is
architecture TEST of TEST is signal B,C: BIT;
signal S: STD_LOGIC; begin
begin process (A) begin
process (S) B <= A;
begin end process;
if S='U' then S<='Z'; end if; process (B) begin
if S='Z' then S<='1'; end if; C <= B;
end process; end process;
end architecture TEST; end architecture;
22
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011
23. Контрольные вопросы и задания (5)
8. Записать транзакции, которые будут занесены в очередь после
выполнения следующих операторов и записать значения
которые x получит во время моделирования. Начальное
значение x равно 0.
x <= reject 5 ns inertial 1 after 7 ns, 23 after 9 ns, 5 after 10 ns,
23 after 12 ns, - 5 after 15 ns;
wait for 6 ns;
x <= reject 5 ns inertial 23 after 7 ns;
23
Хаханова И.В, каф.АПВТ, ХНУРЭ, e-mail: hahanova@mail.ru 09.02.2011