Nagesh Kalal has over 8 years of experience in software testing, including automation testing on Nutanix storage clusters, Cisco Nexus switches, and embedded software. He has expertise in test planning, execution, defect tracking, and automation using tools like Selenium, Python, and TCL scripting. Currently he is leading a team performing automation testing on Cisco Nexus switches using the PyATS framework.
Meenakshi Pal has 9 years of experience in software QA with expertise in storage concepts, virtualization, networking protocols, scripting languages, and automation testing tools. She has a Bachelor's degree in Electronics and Communication and has led end-to-end testing projects for storage products and networking tools. Her skills include test automation, collaboration, issue tracking, and mentoring others. She has received several awards for her work in testing backup solutions, transition tools, and raising quality bugs.
This document contains the resume of Kirti Gaurab Nayak summarizing his professional experience and qualifications. He has over 8 years of experience in network protocol testing and currently works as a Lead Engineer at Prodapt Solutions Pvt Ltd testing routers and network devices. He is proficient in networking protocols, automation testing tools, and scripting languages like TCL.
Experienced Test Lead Engineer with a demonstrated history of working in the Embedded Systems .Strong engineering professional skilled in Set Top Box / TV.
This document contains a summary of a candidate's experience and qualifications. In 3 sentences:
The candidate has over 6 years of experience in project management and senior software engineering roles, including experience developing automation test frameworks and reducing regression testing times. They are a certified Project Management Professional with skills in Agile and Waterfall methodologies. The candidate has a strong background in network protocols and automation testing tools.
This document contains the resume of Srilaxmi Butukuri. She has nearly 4 years of experience in networking technologies including routing, switching, WiFi and 4G. She is JNCIA-JUNOS certified and has experience testing protocols including RIP, OSPF, BGP, VLAN, STP, IPSEC and WiFi. She has worked on projects involving port mirroring and scaling tests for wireless cards. Currently she works as a QA test engineer, focusing on WiFi and LTE testing using tools like Omnipeek and Airpcap for wireless captures.
Kumar has over 8 years of experience in automation testing using Perl and Python. He has expertise in testing storage devices, replication software, databases, and disaster recovery systems on Linux, Windows, and ESX platforms. Some of his projects include developing automation scripts to test NetApp's HA and MCC features, testing a disaster recovery management software, and developing test cases and modules to test ISRO's satellite components.
Galeshwar Joriga is seeking a career in VLSI design and verification. He has a Master's degree in VLSI and Bachelor's degree in ECE. He has over 1 year of hands-on experience in RTL design using Verilog HDL and tools like Synopsys. Some of his projects include FSM design, synchronous FIFO, ALU, and Fibonacci series. His M.Tech project involved implementing a low area multiplexer-based CORDIC algorithm. He also has 3 months of internship experience verifying an IIR filter using Verilog HDL, C, and Perl.
This document contains an executive summary and details for Neha Kansal, who has 9 years of experience in software testing, primarily in the telecom domain. She currently works as a Technical Lead at ARICENT testing Cisco products. She has experience across the full software testing life cycle and has tested VOIP, wireless, and web applications. She is proficient in automation tools like Selenium and SOAP UI and scripting languages like TCL and Shell. She has worked on both Agile and Waterfall projects and has experience testing features like high availability and performance.
The document provides details about Thootha Phani's work experience and qualifications. It summarizes his 10+ years of experience in software development and release management. It also lists his technical skills in areas like .NET, Python scripting, version control tools like Perforce, and databases like SQL Server. Several projects are described where he has played roles like Release Engineer, Build Engineer, Automation Engineer and more for companies like Qualcomm and Nvidia.
Rahul Ramani has over 3 years of experience in functional verification of ASICs and FPGAs using SystemVerilog and OVM methodologies. He has worked on projects involving DAL level-A components for avionics and has experience developing testbenches, achieving code and functional coverage, and verifying protocols like Serial RapidIO. Rahul is skilled in Verilog, VHDL, SystemVerilog, OVM, and scripting languages like Perl. He has experience with verification tools like QuestaSim and debugging tools like Modelsim. Rahul has also worked on verification projects involving DO-254 standards where he created compliance documents and reviewed verification artifacts.
The document provides a summary of Pragathi Poonja's professional experience and skills. She has over 7 years of experience in software testing, particularly for set-top boxes and smart TVs. Her skills include test automation using Selenium, experience testing various set-top box platforms, and knowledge of digital broadcasting standards and testing tools. She is seeking a challenging position in QA/software testing.
The document discusses using SonarQube and SonarLint to enable continuous code inspection. It introduces SonarLint as a tool that provides on-the-fly issue detection and notifications to fix issues early. It then covers how SonarLint and SonarQube can be integrated to centrally manage code quality rules. The document also discusses how SonarQube can be used for code review by analyzing code changes and generating reports, and how it implements a quality gate to control code promotions. Finally, it mentions how SonarQube allows extensions through plugins and custom rule sets.
The document provides a resume for Sreekrishna H P Pandit, including his contact information, educational background, work experience, skills, and career history. He has over 12 years of experience in software quality assurance for STB, OTT, and mobile applications. Currently he works as a Senior Technical QA Lead at Quick Play, Chennai, where he leads testing efforts for various projects involving content delivery and video streaming.
This document provides a summary of Narottam Pattanayak's work experience and qualifications. He has over 7 years of experience in network protocol testing and QA, including testing networking protocols like Wi-Fi, Powerline, TCP/IP, and storage protocols. His most recent role is as a Senior QA Engineer at Ixia Technologies where he has led testing on several IxLoad releases. He holds an MTech in Electronics and Communication Engineering and has expertise in networking protocols, Linux, scripting languages, and traffic generation tools.
This document provides a summary of Vinay Kumar's professional experience and qualifications. He has over 3 years of experience in IP and SoC level verification using SystemVerilog, Verilog, and VHDL. Some of his skills and experience include UVM methodology, protocols like UART, I2C, AMBA, and Ethernet, debugging RTL and test benches, and developing verification environments. He has worked on projects involving an Ethernet load balancer FPGA, an AMBA AHB verification IP, and a NAND flash controller. Vinay holds a BE in electronics from SLN College of Engineering and a post-graduate diploma in VLSI from C-DAC.
Siddhartha K. Ghoshal has over 30 years of experience in areas such as Unix kernel development, device drivers, scripting, testing and test automation. He has expertise in programming languages like C, Perl, and assembly language. Some of his work experiences include working as a QA Engineer at NetApp where he led testing efforts and developed test plans. Prior to that, he worked as a Platform Software Developer and on developing embedded Linux. He has a Ph.D from Indian Institute of Science and has published over 20 research papers.
Tulika Gupta is seeking a professional growth opportunity in an established organization. She has over 5 years of experience in DFT engineering roles. Her experience includes tasks like logic equivalence checking, ATPG pattern generation, test vector validation, simulation, and more. She has worked with tools from Cadence and Synopsys on various chip projects with technologies ranging from 28nm to 40nm. Tulika holds a PGDM in Marketing Management and a B.Tech in ECE. She is proficient in English, Hindi, and Marathi.
This document is a resume for Zheng Ma summarizing his experience as a Product Development Manager and Computer Engineer specializing in design testing and validation. Over 20 years, he has held several engineering management roles at Intel leading teams that delivered testing content and validated designs for CPUs, chipsets, and systems-on-a-chip. He established new testing methodologies and automation workflows that helped Intel successfully launch multiple processor products on schedule.
Sai Kumar Gurram is a DFT engineer with 3 years of experience working on SOC projects at Soctronics Technologies Pvt. Ltd. He has worked on 6 projects involving scan insertion, compression, ATPG, pattern generation and simulations across 40nm, 28nm, 14nm and 22FDSOA process nodes. His role involves scan insertion, compression, ATPG, MBIST, BSCAN, pattern generation, simulations and ensuring timing closure. He has expertise in Perl, TCL and shell scripting for automation.
This document contains Vishnu Gunna's resume. It summarizes his career objective of seeking a challenging position in testing and automation. It then provides details of his 8+ years of experience in networking, including expertise in protocols, certifications in Cisco and Juniper, and work history at Juniper Networks and HCL Technologies conducting testing.
Birendra Kumar has over 8 years of experience as a senior software engineer and developer. He has extensive experience working with protocols like PIM, multicast, IGMP, and unicast routing. Some of his responsibilities have included developing features, resolving defects, and writing test cases. He is proficient in languages like C, C++, and shell scripting. Birendra holds a Bachelor's degree in Computer Science and has received several achievements and appreciations for his work.
This document contains the resume of Tarun Makwana, including his professional experience, skills, and details of various projects he has worked on. It summarizes his over 10 years of experience in ASIC/FPGA design including digital design, RTL coding, simulation and verification. It lists several projects he led or contributed to related to developing IPs for storage protocols and embedded systems.
Richa Adlakha has over 8 years of experience in software testing, including 6 months of onshore experience in client-facing roles in the UK and Finland. She has strong experience in test planning and reporting, test case preparation, execution and approval, and bug tracking. She is proficient in networking protocols like OSPF, BGP, MPLS, datacom switching, and Juniper and Nokia telecom equipment. She has led teams in testing projects for network protocols, datacom systems, and 2G base transceiver stations.
1. Amardeep is an ISTQB Certified Software Professional with 8 years of experience in manual, automation, and framework design using Java and Selenium.
2. He has experience in functional, non-functional, database, sanity, smoke, regression, and progression testing for web-based BSS and OSS telecom applications.
3. His experience includes projects for AT&T in the areas of service management, network migration, gigabit internet provisioning, and merging two applications.
Vishal Gupta has over 7 years of experience developing layer 2 and layer 3 networking protocols. He has led teams and worked with clients in Italy and Israel to design, develop, test, and support network routing and switching solutions. Vishal has expertise in protocols including STP, LACP, IPv4, VRRP, and experience with tools such as Ethereal, Smart Bit, and traffic generators. He has received several awards for his technical contributions and leadership.
The document discusses validation and design in small teams with limited resources. It proposes constraining designs to a single clock rate, using FIFO interfaces between blocks, and separating algorithm from IO verification to simplify validation. This approach allows designs to be completed more quickly with fewer verification engineers through standardized, repeatable validation methods at the cost of optimal performance.
Rajeev Singh is a Principal QA Engineer with over 11 years of experience in QA testing and storage testing. He has extensive experience testing various storage arrays, servers, switches, and networking products. Some of his skills include testing SAN setups, multipathing, RAID configurations, and virtualization technologies like VMware. He is proficient in bug tracking, test planning and case development, and maintaining test environments.
QUALITY ASSURANCE and VALIDATION ENGINEER Piyush Prakash
油
- Piyush Prakash has over 2.6 years of experience in software quality assurance and testing. He has worked on projects for Intel, Bally Technologies, and EMC.
- He has expertise in manual and automation testing, performance testing, integration testing, and defect management tools like HP Quality Center.
- He is proficient in programming languages like Java, testing frameworks like Selenium, and bug tracking tools like JIRA.
The document provides details about Thootha Phani's work experience and qualifications. It summarizes his 10+ years of experience in software development and release management. It also lists his technical skills in areas like .NET, Python scripting, version control tools like Perforce, and databases like SQL Server. Several projects are described where he has played roles like Release Engineer, Build Engineer, Automation Engineer and more for companies like Qualcomm and Nvidia.
Rahul Ramani has over 3 years of experience in functional verification of ASICs and FPGAs using SystemVerilog and OVM methodologies. He has worked on projects involving DAL level-A components for avionics and has experience developing testbenches, achieving code and functional coverage, and verifying protocols like Serial RapidIO. Rahul is skilled in Verilog, VHDL, SystemVerilog, OVM, and scripting languages like Perl. He has experience with verification tools like QuestaSim and debugging tools like Modelsim. Rahul has also worked on verification projects involving DO-254 standards where he created compliance documents and reviewed verification artifacts.
The document provides a summary of Pragathi Poonja's professional experience and skills. She has over 7 years of experience in software testing, particularly for set-top boxes and smart TVs. Her skills include test automation using Selenium, experience testing various set-top box platforms, and knowledge of digital broadcasting standards and testing tools. She is seeking a challenging position in QA/software testing.
The document discusses using SonarQube and SonarLint to enable continuous code inspection. It introduces SonarLint as a tool that provides on-the-fly issue detection and notifications to fix issues early. It then covers how SonarLint and SonarQube can be integrated to centrally manage code quality rules. The document also discusses how SonarQube can be used for code review by analyzing code changes and generating reports, and how it implements a quality gate to control code promotions. Finally, it mentions how SonarQube allows extensions through plugins and custom rule sets.
The document provides a resume for Sreekrishna H P Pandit, including his contact information, educational background, work experience, skills, and career history. He has over 12 years of experience in software quality assurance for STB, OTT, and mobile applications. Currently he works as a Senior Technical QA Lead at Quick Play, Chennai, where he leads testing efforts for various projects involving content delivery and video streaming.
This document provides a summary of Narottam Pattanayak's work experience and qualifications. He has over 7 years of experience in network protocol testing and QA, including testing networking protocols like Wi-Fi, Powerline, TCP/IP, and storage protocols. His most recent role is as a Senior QA Engineer at Ixia Technologies where he has led testing on several IxLoad releases. He holds an MTech in Electronics and Communication Engineering and has expertise in networking protocols, Linux, scripting languages, and traffic generation tools.
This document provides a summary of Vinay Kumar's professional experience and qualifications. He has over 3 years of experience in IP and SoC level verification using SystemVerilog, Verilog, and VHDL. Some of his skills and experience include UVM methodology, protocols like UART, I2C, AMBA, and Ethernet, debugging RTL and test benches, and developing verification environments. He has worked on projects involving an Ethernet load balancer FPGA, an AMBA AHB verification IP, and a NAND flash controller. Vinay holds a BE in electronics from SLN College of Engineering and a post-graduate diploma in VLSI from C-DAC.
Siddhartha K. Ghoshal has over 30 years of experience in areas such as Unix kernel development, device drivers, scripting, testing and test automation. He has expertise in programming languages like C, Perl, and assembly language. Some of his work experiences include working as a QA Engineer at NetApp where he led testing efforts and developed test plans. Prior to that, he worked as a Platform Software Developer and on developing embedded Linux. He has a Ph.D from Indian Institute of Science and has published over 20 research papers.
Tulika Gupta is seeking a professional growth opportunity in an established organization. She has over 5 years of experience in DFT engineering roles. Her experience includes tasks like logic equivalence checking, ATPG pattern generation, test vector validation, simulation, and more. She has worked with tools from Cadence and Synopsys on various chip projects with technologies ranging from 28nm to 40nm. Tulika holds a PGDM in Marketing Management and a B.Tech in ECE. She is proficient in English, Hindi, and Marathi.
This document is a resume for Zheng Ma summarizing his experience as a Product Development Manager and Computer Engineer specializing in design testing and validation. Over 20 years, he has held several engineering management roles at Intel leading teams that delivered testing content and validated designs for CPUs, chipsets, and systems-on-a-chip. He established new testing methodologies and automation workflows that helped Intel successfully launch multiple processor products on schedule.
Sai Kumar Gurram is a DFT engineer with 3 years of experience working on SOC projects at Soctronics Technologies Pvt. Ltd. He has worked on 6 projects involving scan insertion, compression, ATPG, pattern generation and simulations across 40nm, 28nm, 14nm and 22FDSOA process nodes. His role involves scan insertion, compression, ATPG, MBIST, BSCAN, pattern generation, simulations and ensuring timing closure. He has expertise in Perl, TCL and shell scripting for automation.
This document contains Vishnu Gunna's resume. It summarizes his career objective of seeking a challenging position in testing and automation. It then provides details of his 8+ years of experience in networking, including expertise in protocols, certifications in Cisco and Juniper, and work history at Juniper Networks and HCL Technologies conducting testing.
Birendra Kumar has over 8 years of experience as a senior software engineer and developer. He has extensive experience working with protocols like PIM, multicast, IGMP, and unicast routing. Some of his responsibilities have included developing features, resolving defects, and writing test cases. He is proficient in languages like C, C++, and shell scripting. Birendra holds a Bachelor's degree in Computer Science and has received several achievements and appreciations for his work.
This document contains the resume of Tarun Makwana, including his professional experience, skills, and details of various projects he has worked on. It summarizes his over 10 years of experience in ASIC/FPGA design including digital design, RTL coding, simulation and verification. It lists several projects he led or contributed to related to developing IPs for storage protocols and embedded systems.
Richa Adlakha has over 8 years of experience in software testing, including 6 months of onshore experience in client-facing roles in the UK and Finland. She has strong experience in test planning and reporting, test case preparation, execution and approval, and bug tracking. She is proficient in networking protocols like OSPF, BGP, MPLS, datacom switching, and Juniper and Nokia telecom equipment. She has led teams in testing projects for network protocols, datacom systems, and 2G base transceiver stations.
1. Amardeep is an ISTQB Certified Software Professional with 8 years of experience in manual, automation, and framework design using Java and Selenium.
2. He has experience in functional, non-functional, database, sanity, smoke, regression, and progression testing for web-based BSS and OSS telecom applications.
3. His experience includes projects for AT&T in the areas of service management, network migration, gigabit internet provisioning, and merging two applications.
Vishal Gupta has over 7 years of experience developing layer 2 and layer 3 networking protocols. He has led teams and worked with clients in Italy and Israel to design, develop, test, and support network routing and switching solutions. Vishal has expertise in protocols including STP, LACP, IPv4, VRRP, and experience with tools such as Ethereal, Smart Bit, and traffic generators. He has received several awards for his technical contributions and leadership.
The document discusses validation and design in small teams with limited resources. It proposes constraining designs to a single clock rate, using FIFO interfaces between blocks, and separating algorithm from IO verification to simplify validation. This approach allows designs to be completed more quickly with fewer verification engineers through standardized, repeatable validation methods at the cost of optimal performance.
Rajeev Singh is a Principal QA Engineer with over 11 years of experience in QA testing and storage testing. He has extensive experience testing various storage arrays, servers, switches, and networking products. Some of his skills include testing SAN setups, multipathing, RAID configurations, and virtualization technologies like VMware. He is proficient in bug tracking, test planning and case development, and maintaining test environments.
QUALITY ASSURANCE and VALIDATION ENGINEER Piyush Prakash
油
- Piyush Prakash has over 2.6 years of experience in software quality assurance and testing. He has worked on projects for Intel, Bally Technologies, and EMC.
- He has expertise in manual and automation testing, performance testing, integration testing, and defect management tools like HP Quality Center.
- He is proficient in programming languages like Java, testing frameworks like Selenium, and bug tracking tools like JIRA.
Around 5 plus years of proven experience in software industry with a focus on Automation/Manual testing, Performance testing, DevOps and Big Data Hadoop. An Experienced Automation and DevOps engineer with excellent knowledge of automation.
Experience in all aspects of infrastructure, application, CI/CD, Containerization. Strong experience in latest DevOps tools like Docker, Kubernetes, Jenkins, Splunk.
Monika Gardias has over 15 years of experience in software testing and automation. She has extensive experience managing test automation labs and developing automated test scripts in languages like TCL, Python, and Silk. Her background includes testing embedded systems, networking applications, and web and client-server software. She is skilled in both black box and gray box testing techniques as well as test planning, case development, and defect tracking.
This resume summarizes Phu Sam's experience in test automation and network administration over 20 years. He has extensive experience developing test scripts using languages like TCL, Python and shell scripting to test networking equipment. Currently he is a Principal Engineer at Broadcom where he maintains their Linux testing infrastructure and develops automation scripts. Previously he held roles like Automated Regression Technical Manager at Cisco where he led automation teams and improved their testing processes. He has comprehensive knowledge of networks, protocols, switches and operating systems.
1. Amardeep is an ISTQB Certified Software Professional with 8 years of experience in manual, automation, and framework design using Java and Selenium.
2. He has experience in functional, non-functional, database, sanity, smoke, regression, and progression testing for web-based BSS and OSS telecom applications.
3. His experience includes projects for AT&T in roles such as technical lead and senior quality analyst testing applications such as BBNMS-LSGUI, NGCO, and Gigapower.
Shanthi Ravichandran has over 15 years of experience in software engineering, quality assurance, and testing. She has expertise in systems integration, software quality assurance, test plan design, test creation and automation, and supporting software projects. She has worked on projects in various industries for companies such as Hitachi, HP, Dell, Axeda, Interval Zero, Sepaton, Motorola, Broadcom, Analogic, Sentillion, Lucent Technologies, and Fujitsu.
Pavan Ramisetty has over 4.5 years of experience as a Software Testing Engineer, with expertise in manual functional testing and black box testing. He has experience in test automation using Perl scripting and SQL. Some of his responsibilities include creating test plans, executing test cases, and generating test reports. He has worked on projects involving network virtualization, traffic steering routers, and routing software. Pavan is proficient in both Windows and Linux environments.
Atif Farooq Bhatti has over 15 years of experience as a test engineer developing automated testing solutions for RF products. He has a Master's degree in Electronics and is proficient in languages like C/C++, LabVIEW, and Visual Basic. He has worked on testing projects for water meters, network devices, and UPS systems. His skills include requirements documentation, test automation, data analysis, and reducing production costs.
Ming Liu has over 10 years of experience in embedded software development and testing using languages like C/C++, Python, and Shell scripts. He has worked as a Validation Engineer at Marvell Semiconductor testing WiFi chip features and bringing up new products. Prior to that, he was a Verification Engineer at Wind River testing their VxWorks operating system and a Software Designer at Nortel Networks developing features for their UMTS wireless system.
9 yrs of Testing Exp_STB and DSL gateway productsPrakash S M
油
Prakash S. M is a senior test engineer with over 9 years of experience in testing video and DSL gateway products. He has extensive experience in manual and automation testing including functional, regression, smoke, and performance testing. Prakash is proficient in various testing tools like Spirent test center, defect tracking tools like Jira, and scripting languages like Python. He has worked with multiple customers on various projects, delivering high quality testing. Prakash is looking for new opportunities to grow professionally and contribute to an organization.
Diwakar Nag has over 25 years of experience as a test architect and development architect. He has extensive experience architecting automated test frameworks in Python, Java, and other languages. Some of his accomplishments include architecting a test framework for HP OneView that replaced a proprietary framework, standardized test hardware usage to reduce complexity, and instituted quality control processes. He also has experience as a development architect, improving performance and standards compliance for various HP-UX components.
The document provides a summary of Michael Joshua S's professional experience and skills. It summarizes over 12 years of experience in embedded systems testing and validation across various industries. Key roles included consulting test engineer, team lead, and project engineer. Technical skills include test automation using National Instruments hardware and software, system engineering, verification and validation, and embedded software development.
Prasad Meduri has over 8 years of experience in quality assurance testing. He has expertise in testing networking devices such as IP encryptors, routers, and VOIP interfaces. Some of his responsibilities include test case design, test execution, defect tracking, and ensuring software quality. He has worked on projects for clients such as ISRO and eSeva and aims to continuously acquire skills in emerging technologies.
Joyluke J A has over 3 years of experience as a test engineer specializing in ETL testing, automation using Perl scripting, integration testing, and functional testing. He is currently working at Cap Gemini India Private Limited testing the ETL process and data warehouse loads for client Morgan Stanley. Some of his responsibilities include requirement gathering, test case design, execution and analysis, database migration testing, and automating regression tests using Perl scripts. He has also previously worked at Wipro Technologies testing features, regressions, integrations, and systems for HP's NAS appliance.
The document is a curriculum vitae for Meghana T that outlines her professional experience and qualifications. She has over 2 years of experience in verification testing, system testing, and telecom product testing. She has worked as a software engineer for Alcatel-Lucent and HCL Technologies testing platforms, middleware, networking features, and performing test automation using scripts. She has expertise in test planning, execution, and lifecycles with skills in programming languages like Perl and Python.
Md Salman is a software test engineer with over 2 years of experience in quality assurance and testing of storage area networks and systems. He has worked on SAN configurations using Brocade switches and directors, tested HBA drivers and firmware, and has experience with Linux, Windows, scripting, and defect tracking tools. Salman is looking for a new role where he can utilize his technical skills and experience testing software.
- Kevin Slade is seeking a new IT role involving technical challenge, people contact, and making a positive contribution to a company in the Auckland region.
- He has over 30 years of experience in various IT roles including software development, testing, project management, and training/mentoring.
- His background includes managing teams, full software development life cycle experience, and skills in languages like C, C++, Perl, Java, and SQL.
Neha Maggu is a lead engineer with over 7 years of experience in manual and automation testing. She has expertise in all phases of the software testing life cycle including test planning, design, execution, and reporting. Some of her key skills include Selenium, SQL, Linux commands, and testing protocols like SIP, RTP, and Diameter. Currently she is leading a team of 12 testers at Samsung R&D Institute, where she has worked on various projects testing applications, SDKs, and embedded systems.
Pramod Kumar Mishra is a senior QA engineer with over 7 years of experience testing NetApp storage solutions. He has extensive experience testing technologies like WAFL, RAID, compression, and deduplication. Some of his key responsibilities include automating test cases, executing regression test suites, debugging failures, and reporting bugs. He is proficient with tools like ALM, CTL, Jenkins, and Linux. Pramod holds a B.Tech in Information Technology and certification in software testing from ISTQB.
1. NAGESH B KALAL
Contact No.: +91 9886729914, E-Mail: nagesh.kalal@gmail.com
Address: Flat No 118,Mars Enclave ,Doddabbommasandra,Vidyaranyapura,Bangaluru-97
Aspiring for challenging assignment in Software Testing with IT sector
CAREER SUMMARY
A competent professional with over 8 years of experience (including onsite) in Manual and Automation
testing on Nutanix Storage, Cisco Nexus switches and Embedded Application Softwares (Automotive,
Storage and Networking) Domain.
Exposure on Storage and Virtualization concepts and Nutanix architecture
Fair Knowledge on Networking protocols(SNMP,TCP/IP,UDP,OSPF,BGP,OpenFlow etc)
Exposer on L2, L3 Network technologies, OSPF, BGP,VLAN Open flow switching and wireless concepts WLAN.
Nutanix Administration, Cluster components, Monitoring and troubleshooting.
Well versed with execution of Automation test cases, test planning and test procedure.
Attained expertise in handling multiple project (Refer annexure for project executed details)
Hands on experience in:
o Writing Test Strategy, Testing Methodologies, Test Plans, Cases & Processes Functional
Requirements, Scripting & Documentation.
o Expertise in triage and analyzing the script or logs of Nutanix clusters
o Tracking and Reporting Defects using Defect Tracking Tool (Multisite ClearQuest)
o Python Scripting ,TCL Scripting and Shell scripting and Selenium IDE
Got extensive experience in individually performing Sanity Testing, UI Testing, System Testing, ATP Testing.
Possesses good communication, people management, problem solving and analytical skills.
KEY SKILLS
FUNCTIONAL
Test Management Project Management Quality Assurance
Requirement Gathering Effort Estimation Resource Planning
Reports/ Technical Documentation Client Relationship Team Management
TECHNICAL
Operating System Windows NT/2000/XP, Linux
Scripting Knowledge VB Script, Shell, Python,TCL
Software Tools Vcenter,VmwareViewclient,NCLI,MongoDB,Wire shark, Selenium
Bug tracking Tools Bugzilla, Rational Clear Quest, Jira
Nutanix Standards Storage and Virtualization
WORK EXPERIENCE
Organization Designation Tenure
Infosys @ Cisco from Teknosoft Test Lead Since Oct15
Nutanix Inc from Paxterra, Bangalore Test Lead May14-Aug15
CISCO Video Technology, Bangalore Engineer QC/QA (Level 8-Test Lead) Dec07-Nov13
SPA Computers Pvt. Ltd., Bangalore Software Test Engineer Oct06-Dec07
(System Process Automation)
OSYS Technologies Test Engineer Trainee Jul05-Oct06
Responsibilities:
Currently leading the team on Automation, Component and Regression test log Analysis.
Currently Automation on Cisco Nexus 8k/9k switches using PyATS and ATS BEST framework.
Organizing and handling product Nutanix Clusters & Scheduling, debugging Automation test run, and
achieved target within deadline.
Managing and monitoring the test run and cluster status and commits done on Nutanix branches.
Handling the Upgrade, Phoenix, DR,HA, Performance on ESX, Hyper V,KVM clusters
2. Nutanix Cluster Management Web console ,Multi-Cluster Management ,NCLI ,Rest API, Powershell Cmdlet
Preparing Test strategy, Test setup and execution of test cases.
Creating, executing and debugging test scripts (Automation); sending test reports (Manual and Automated).
Reporting and logging defects in the defect tracking tool.
Participating in;
o Stability testing running daily automation test run, analyzing and fixing.
o Document review throughout the project life cycle & providing inputs to the Document & during
CRs Review & Estimations
Generating generic test strategy template for projects, considering the inputs provides by team members.
Mentoring for the new comers, handling the team, giving estimation, presenting the status report on
weekly bases.
Actively involved in Monitoring and troubleshooting Nutanix cluster [ Health & performance monitoring ,
Nutanix alerts, log file analysis, Nutanix cluster check ]
EDUCATIONAL QUALIFICATIONS
Masters Degree in Electronics from Karnataka University, Dharwad in 2004
Bachelors Degree in Electronics from Karnataka University, Dharwad in 2002
Trainings Undergone
Undergone training on Nutanix Administration Course from Nutanix Inc ,San Jose CA in 2014
Undergone training on Python programming with certification from Innovator, Bangalore in 2013
Undergone training on Software Testing(Manual and Automation)from CegonSoft, Bangalore in 2004
ANNEXURE
PROJECTS EXECUTED
Project Handled for Infosys @ Cisco:
Project Handled for Nutanix:
Project Title Fretta Cisco Nexus 8K and 9K switches
Duration Oct 2015 till date
Responsibilitie
s
Automation test script generation (TCL, Python)using ATS BEST framework and
PyATS Framework on Nexus 8k and 9k switches.
Enhance test frameworks and develop automated tests
Estimate test accurately and coordinate with team members for work activities
Apply, design and develop automated testing strategies or test plan.
3. Short term Project OMNM Dell UI Test: Generated python scripts using Selenium IDE on PyCharm
robot framework
PROJECTS Network and Embedded Domain Duration: Dec 2007 -2013
Project Title Nutanix cluster [ESXi, Hyper V,KVM]
Duration May 2014 Aug 2015
Description Nutanix cluster are present within a hypervisor client will also learn how the logic storage
entities describes the component of the nutanix cluster and demonstrates how they work
together to provide enterprises-class share storage. Software-Defined Architecture. The
Nutanix Virtual Computing Platform is built on an advanced, highly-distributed software
architecture that provides both high performance and massive scale-out capabilities while
running on best-in-class commodity hardware to maximize affordability. The Nutanix
Distributed File System (NDFS) connects storage, compute resources, controller logic,
and hypervisor to deliver a fully integrated system that can run any virtual workload at
any scale. The architecture is purpose built for virtualization and supports popular
technologies including live VM migration, high availability (HA), distributed resource
scheduling (DRS), and fault tolerance.
Framework
Agave Frame work
Responsibilitie
s
Handling product Nutanix Clusters & Scheduling, debugging Automation test run
Expertise in triage and analyzing the script or logs of Nutanix clusters
Regression Automation testing
Cluster Management Web console ,Multi-Cluster Management ,NCLI ,Rest API,
Powershell Cmdlet,Vcenter/VM Vspere
Upgrade, Phoenix, DR, Performance on ESX, Hyper V,KVM clusters
Cluster Core, Fatal log analyzing and reporting bug in Jira
o Python Agave framework test scripts, bug fix.
o Git version control system.
Project Title Python Automation test and Cisco 2811 router
Description Tests are performed on commercial routers and Cisco 2811.
In turn the routers are setup for OSPF operation, a connectivity test is performed using
ICMP, and the correctness of hello packets is checked.
OSPF is a popular interior gateway protocol /link state routing protocol: each router finds
the best paths to the other network destination.
Framework
and modules
Scapy,Pexpect,Serialconnectedrouterclass,JuniperJ2320class,Cisco2811class
Responsibilitie
s
Test are automated through scripts written in python programming language,
using a novel free and open source framework and free modules.
Automation Script generation:
Local machine configuration.
Configure the router.
IP and OSPF configuration performed using JNUOS specific commands.
Retrieved router information generated.
Check connectivity using ICMP.
OSPF hello packets from router is sniffed and its correctness is inserted
Test of LSA`s, DR, BDR and OSPF packets
Project Title
WAP Wireless MCE(WLAN)
Description
MCE Webconsole used to manage and monitor the wireless devices in the network.
Tests Types of Frames (Managements Frames )for Authentication and Associate which
joins and leave the BSS which carries only layer 2 information according to 802.11
standards
4. OTHER PROJECTS Automotive Domain Duration: Oct 2006- Dec 2007
Title Radio Face plate/CD player for multimedia car
Client ITE, Taiwan Software: C and Keil cross complier
Role Test Engineer
Description CD player for multimedia car is a portable digital music player, perfectly combines
digital music, playing, recording, FM receiver, CD player.
HMI Testing Using Prototype hardware: Complete set of inputs chosen to active buttons:
ON/OFF, Preset, CD Slot, Eject, REW, FWD, Record button and LED, FM tuner (freq range 87 ~ 108 MHz)
Responsibilities Writing Test Cases based on specifications & executing, coordinating the work among
various modules, managing the firmware for deliveries & reporting bugs in bug tracker.
GUI representation/Soft HMI testing:
MATALAB and Simulink software environment used throughout the design process for developing and testing.
Complete set of inputs chosen to active buttons: ON/OFF, REW, FWD, Record button and LED,FM.
Responsibilities Creating test vector through interaction with virtual HMI, Capture user input to the HMI
and populate a set of test vectors.
----------------------------------------------------------------------------------------------------------------------------
Title Bus Information System
Client DRMS, Japan
Hardware Blackfin 561 Software: uClinux and C
Role Test Engineer
Description The main objective is to develop complete software right from the booting of the
hardware which is based on the Blackfin BF561 to complete application for Bus
Information system. This unit is connected to different display Terminals.
An application has to be developed which will display combination of different contents
like video, picture & Banner .The contents details will be in the play list which is in CF
.The contents can be MPEG2, MPEG4, JPEG, MP3 and BMP.
Responsibilities Writing Test Cases based on specifications and executing, coordinating the work among
various modules, managing the firmware for deliveries & reporting bugs in bug tracker.
------------------------------------------------------------------------------------------------------------------
Project Title Set top box Testing:
Description Digital Set Top Box is a consumer device that acts as a receiver or tuner for TV signals. It
follows DVB/MPEG standards. STB allows user to view channels and Provides internet
access. It is the Key to digital video processing. User can get more specialized channels,
it Support for HDTV, Pay as you view, Interactive TV (iTV),Video On Demand which
enables end user to purchase and view favorite movies on demand , Hard drive storage
and XTV features.
Clients: Most of the clients are cable/Satellite television broadcaster and broadband
provides in their respective region
Tools Tera-Term, Stream Analyzer, VGC, Ezlogger, Rational Clear Quest, Scheduler
SSR,ISET(OTA file generator),wireshark
Responsibilitie
s
Leading on Automation, Component and System level testing.
Handling projects (Multiple boxes and Multiple platform)
Organizing and handling product test case generation & mapping requirements.
Preparing Test strategy, Test setup and execution of test cases
Participating in review of FRS, Test case generation and mapping
Preparing and execution of test cases
Reporting and logging defects in the defect tracking tool.
Performing Sanity Testing, UI Testing, Functionality Testing and System Testing
Supervising Sanity, Functional, Automation, Stress, Performance and Regression
5. Title Network Digital Signage Player
Client IEI, Taiwan
Hardware ARM EM 8622 Software: VC++, MS Access
Role Test Engineer
Description NDSP is a complete digital signage solution that allows user to design the play list
layouts, Sequences, edit, schedule and transfer the same to the arm board using
TCP/IP connection or wireless. A user friendly interface is provided in windows platform
(2000/XP) were user can play video, audio, pictures and banners. It shows dynamic
digital content to their target audience and it will also allow them to update the
contents.
Responsibilities Writing Test Cases based on specifications and executing, coordinating the work among
various modules, managing the builds for deliveries and reporting bugs in bug tracker.
-------------------------------------------------------------------------------------------------------------------------------
PERSONAL DETAILS
Date of Birth 21st
January
Language Proficiency Kannada, Hindi and English
Passport Number G 1874083
6. Title Network Digital Signage Player
Client IEI, Taiwan
Hardware ARM EM 8622 Software: VC++, MS Access
Role Test Engineer
Description NDSP is a complete digital signage solution that allows user to design the play list
layouts, Sequences, edit, schedule and transfer the same to the arm board using
TCP/IP connection or wireless. A user friendly interface is provided in windows platform
(2000/XP) were user can play video, audio, pictures and banners. It shows dynamic
digital content to their target audience and it will also allow them to update the
contents.
Responsibilities Writing Test Cases based on specifications and executing, coordinating the work among
various modules, managing the builds for deliveries and reporting bugs in bug tracker.
-------------------------------------------------------------------------------------------------------------------------------
PERSONAL DETAILS
Date of Birth 21st
January
Language Proficiency Kannada, Hindi and English
Passport Number G 1874083