狠狠撸

狠狠撸Share a Scribd company logo
@xylnao11 浜田 直樹
 株式会社アックス
要旨

FPGAボード(Avnet Spartan-6)にOpenCoresの
PDP-11を移植した→実はたいしたことない。

FPGAボード1枚7,980円@秋月電子だけでここまで
遊べる。工作不要。

ソフト屋がハードウェアの基礎を理解する絶好の
チャンス。というわけで、ソフト屋視点の話。
私について

UNIX屋。386BSDあたりから本格的にUNIXをいじ
りはじめる。必要に迫られてFreeBSDのネットワー
クドライバーを書いたり。
Darwin/x86をApple社外で最初に動かしたり。
UNIX 32/V(元祖32bit UNIX)をVAXエミュレーター
上で動かしたり。
ハードウェアに関しては素人。
@syuu1228さんとは元同僚。
なんでこんな話をすることになったか
教訓:口は災いの元
Lions Commentary on UNIXの読書会にて。
PDP-11の回路図をこっそり読んだりしていた。
「FPGAでPDP-11が動くらしい」→共同購入
うっかり「別のアプローチをさぐってみる」と。
啖呵を切ったものの、どうしたものか。
安価なFPGAが出回ってるので何とかなるか?
→何とかなってよかった。
FPGAとは何か

Field Programmable Gate Array:
プログラム可能な
論理回路と
配線の塊。
要するにFPGAとは

レゴとかプラレールみたいなもの
 ↓
めちゃくちゃ遊べる
最近流行りののろくろとはだいぶ違う。

名人芸が必要。
ASICに近い、のか?
FPGAの状況

XilinxとAlteraの2大巨頭でシェアの80%。Latticeや
Actelなど弱小メーカーもぼちぼち。
プログラミングのためにはプロプライエタリなツー
ルが必要。環境も強制(WindowsやLinux)。
FPGAは中身が完全に公開されているわけではな
い。中間フォーマットも非公開。
 ↓
オープンなソフトウェアの世界の感覚からすると
ちょっと苛々。
FPGAボード

リッチで使い勝手の良いものは高い。
雑誌付録もあるが、使いやすくするには手間とお
金がかかる。
 ↓
Avnet Microboard:
I/Oは必要最低限のみ。
工作不要。
秋月電子通商で買える
(7,980円)。
PDP-11

1970年~1990年くらいに盛んに使われた16bit「ミ
ニコン」。大型冷蔵庫くらい→チップに。回路図など
の詳細なマニュアルが公開されている。

初期のUNIXのプラットフォーム。
ソースコードが公開されていて、UNIX第6版の詳細
な注釈(Lions本)も出版されている。
PDP-11/70のCPUコアのブロック図
http://bitsavers.org/pdf/dec/pdp11/1170/MP0KB11-C0_1170engDrw_Nov75.pdf
FPGAにPDP-11を実装する

目標は「PDP-11用のプログラムが動く」。PDP-11
の命令セットや周辺の回路をHDLで記述。オリジナ
ルとは違う構成だが、構造は自然と似てくる(内部
ロジックは比較的素直)。
昔と環境が違うので、インターフェースの切り口や
見せ方に工夫が必要(ここが工夫のしどころ)。

OpenCoresのw11のほか、POP-11など実装例が
いろいろ。それぞれ考え方が違っておもしろい。
HDL(Hardware Description Language)

見た目はプログラミング言語に似ている。
コンパイル?リンク?ロード→論理合成?配置実装?
プログラム
仕様記述から出発。テスト記述も意識。

主流はVHDLとVerilog HDL。
C++のクラスライブラリーでハードウェアを記述で
きるようにしたSystemC等もあるが、いまいち流行
らない。
VHDL

Adaベース。激しくダサい(個人の感想です)。
強い型付けの言語。カッチリ感あり。
library ieee;
use ieee.std_logic_1164.all;

entity XOR_ent is
port(x: in std_logic; y: in std_logic; F: out std_logic);
end XOR_ent;

architecture behavior of XOR_ent is
begin
    F <= x xor y;
end behavior;
シミュレーション

HDLによる記述は簡単にシミュレーションできる。
ghdlなら無料。

?波形を見ると格好いい。
?目で見て理解できる。
?問題の発見に有効。

いろんなレベルがある。精度が高いほど遅い。
シミュレーション例

UNIXのブートの途中(ghdl + gtkWave)
何をやったか

w11(OpenCores)をAvnet Microboardに移植。
もともと同じFPGAを使っている別のボードでは動
いていた。入出力デバイスをフィッティング。
大変だったのは:
0) VHDLやFPGAの基礎。w11の構成の把握。
1) たいした作業ではない、ということを理解する。
2) FPGAの中でなにがおこっているかを見る。
3) プロプライエタリなツール?環境(CentOS!)に慣
れる。
デモ

先ほどのAvnet Microboardを使用。

CentOS上のコントローラー
? お話ししながらUNIX Version 6を動かす。
FPGA上に実装したPDP-11コア

うまくいったらおなぐさみ。
のつもりだったんだけど

どうやらFPGAを壊した(1ヶ月ぶり2回目)




てへぺろ(?ω<)
なのでかわりに

もともと動いてたDigilent Nexys3で動かす。
Microboardの倍くらいのお値段。
I/Oが豊富で使いやすい。
MicroboardのFPGAは
Spartan-6のミニ盛り。
こっちは並。
けっこうハイブリッドに动いている
ソフト屋的アプローチ

構造の把握に、doxygen(VHDLに対応)が非常に役
立った。

他にも使える道具はいろいろあるはず。
w11 CPU coreの
doxygen出力
ロジアナ

XilinxならChipScope(有料)
FPGAの中の信号線を特定のタイミングで読みだ
して記録。
リアルタイムの信号が見えてたのしい。
シミュレーション(見た目はよく似ている)と比較すれ
ばなにがおこっているかすぐにわかる。

FPGA内のRAMに書き込んでいるので制限が厳し
い。
こういうことをして何が嬉しいのか

「ソフト屋はマニュアル通りハードウェアを使えば十
分」か?
「ユーザーはマニュアル通りソフトウェアを使えば
十分」、ではないよね。
 ↓
ソフト屋が深入りする必要はない。が、知っていれ
ばそのぶんだけお得。

かもしれない(absolutely no warranty)。
そう、PDP-11ならね。

マニュアル類はもちろん、回路図など詳細な資料
が公開されている。
FPGAやエミュレーターで動かせる。それぞれの
ソースコードが手に入る。
完成度の高いOS(各種UNIX)が動かせる。それぞ
れのソースコードが手に入る。
古いといえば古い。が、基本は今も同じ。むしろ昔
のほうがずっとシンプルでわかりやすい。レイヤー
の下から上まで縦断的に理解するチャンス。
? PDP-11でライバルに差をつけよう!
今後の予定

PDP-11そのものの中身について、まだよくわかっ
ていない。
↓
勉強会やります。
ものすごく大変ではない(たぶん)。
前提はちょっと厳しめ。でないとVHDL&PDP-11勉
強会になってしまう。
温泉&合宿は重要。
野望

PDP-11の回路をFPGAでそのまま再現する(CPU
の理解にものすごく役立つ)

VAXを実装する(簡単ではない。工夫しがいあり)

無償で公開されているOpenSparcを理解(もはやプ
ロの領域。ちなみにVerilog HDLで記述)

?今ならやりたい放題!
蛇足

XilinxのLinux用開発環境(ISE)はRedHat
Enterprise Linux向け(ダサダサっ)。
→ 確認のため、まずはCentOS 6.2で動かす。
→ FreeBSD-8.3-stableのLinuxエミュレーションで
動きそう(ほぼ動いてる)。

作業環境の集約点をどう作るかは、案外難しい。
→ VMWare & さくらVPSが強い味方。
おしまい:论理合成、しませんか。

More Related Content

What's hot (20)

フィルタドライバ入门
フィルタドライバ入门フィルタドライバ入门
フィルタドライバ入门
firewood
?
[En] IPVS for Docker Containers
[En] IPVS for Docker Containers[En] IPVS for Docker Containers
[En] IPVS for Docker Containers
Andrey Sibirev
?
础苍诲谤辞颈诲と厂贰尝颈苍耻虫
础苍诲谤辞颈诲と厂贰尝颈苍耻虫础苍诲谤辞颈诲と厂贰尝颈苍耻虫
础苍诲谤辞颈诲と厂贰尝颈苍耻虫
android sola
?
[1C6]????????? ???????? ??????????? Node.js?? ?????????? IoT ?????????
[1C6]????????? ???????? ??????????? Node.js?? ?????????? IoT ?????????[1C6]????????? ???????? ??????????? Node.js?? ?????????? IoT ?????????
[1C6]????????? ???????? ??????????? Node.js?? ?????????? IoT ?????????
NAVER D2
?
Android Binder IPC for Linux
Android Binder IPC for LinuxAndroid Binder IPC for Linux
Android Binder IPC for Linux
Yu-Hsin Hung
?
Three Optimization Tips for C++
Three Optimization Tips for C++Three Optimization Tips for C++
Three Optimization Tips for C++
Andrei Alexandrescu
?
Native Android Userspace part of the Embedded Android Workshop at Linaro Conn...
Native Android Userspace part of the Embedded Android Workshop at Linaro Conn...Native Android Userspace part of the Embedded Android Workshop at Linaro Conn...
Native Android Userspace part of the Embedded Android Workshop at Linaro Conn...
Opersys inc.
?
Understanding open max il
Understanding open max ilUnderstanding open max il
Understanding open max il
Chethan Pchethan
?
【de:code 2020】 そのロジック、IoT Edge で動きます - Azure IoT Edge 開発 Deep Dive
【de:code 2020】 そのロジック、IoT Edge で動きます - Azure IoT Edge 開発 Deep Dive【de:code 2020】 そのロジック、IoT Edge で動きます - Azure IoT Edge 開発 Deep Dive
【de:code 2020】 そのロジック、IoT Edge で動きます - Azure IoT Edge 開発 Deep Dive
日本マイクロソフト株式会社
?
Yocto project
Yocto projectYocto project
Yocto project
University of Texas at Dallas
?
Root file system for embedded systems
Root file system for embedded systemsRoot file system for embedded systems
Root file system for embedded systems
alok pal
?
Part 02 Linux Kernel Module Programming
Part 02 Linux Kernel Module ProgrammingPart 02 Linux Kernel Module Programming
Part 02 Linux Kernel Module Programming
Tushar B Kute
?
颁补蝉蝉补苍诲谤补のバックアップと运用を考える
颁补蝉蝉补苍诲谤补のバックアップと运用を考える颁补蝉蝉补苍诲谤补のバックアップと运用を考える
颁补蝉蝉补苍诲谤补のバックアップと运用を考える
Kazutaka Tomita
?
Embedded Android : System Development - Part II (Linux device drivers)
Embedded Android : System Development - Part II (Linux device drivers)Embedded Android : System Development - Part II (Linux device drivers)
Embedded Android : System Development - Part II (Linux device drivers)
Emertxe Information Technologies Pvt Ltd
?
Android 10
Android 10Android 10
Android 10
kpraveen_slideshare
?
Migrating from OpenGL to Vulkan
Migrating from OpenGL to VulkanMigrating from OpenGL to Vulkan
Migrating from OpenGL to Vulkan
Mark Kilgard
?
Linux Internals - Kernel/Core
Linux Internals - Kernel/CoreLinux Internals - Kernel/Core
Linux Internals - Kernel/Core
Shay Cohen
?
リペア時間短縮にむけた取り組み@Yahoo! JAPAN #casstudy
リペア時間短縮にむけた取り組み@Yahoo! JAPAN #casstudyリペア時間短縮にむけた取り組み@Yahoo! JAPAN #casstudy
リペア時間短縮にむけた取り組み@Yahoo! JAPAN #casstudy
驰补丑辞辞!デベロッパーネットワーク
?
Spi drivers
Spi driversSpi drivers
Spi drivers
pradeep_tewani
?
Conan.io - The C/C++ package manager for Developers
Conan.io - The C/C++ package manager for DevelopersConan.io - The C/C++ package manager for Developers
Conan.io - The C/C++ package manager for Developers
Uilian Ries
?
フィルタドライバ入门
フィルタドライバ入门フィルタドライバ入门
フィルタドライバ入门
firewood
?
[En] IPVS for Docker Containers
[En] IPVS for Docker Containers[En] IPVS for Docker Containers
[En] IPVS for Docker Containers
Andrey Sibirev
?
础苍诲谤辞颈诲と厂贰尝颈苍耻虫
础苍诲谤辞颈诲と厂贰尝颈苍耻虫础苍诲谤辞颈诲と厂贰尝颈苍耻虫
础苍诲谤辞颈诲と厂贰尝颈苍耻虫
android sola
?
[1C6]????????? ???????? ??????????? Node.js?? ?????????? IoT ?????????
[1C6]????????? ???????? ??????????? Node.js?? ?????????? IoT ?????????[1C6]????????? ???????? ??????????? Node.js?? ?????????? IoT ?????????
[1C6]????????? ???????? ??????????? Node.js?? ?????????? IoT ?????????
NAVER D2
?
Android Binder IPC for Linux
Android Binder IPC for LinuxAndroid Binder IPC for Linux
Android Binder IPC for Linux
Yu-Hsin Hung
?
Native Android Userspace part of the Embedded Android Workshop at Linaro Conn...
Native Android Userspace part of the Embedded Android Workshop at Linaro Conn...Native Android Userspace part of the Embedded Android Workshop at Linaro Conn...
Native Android Userspace part of the Embedded Android Workshop at Linaro Conn...
Opersys inc.
?
【de:code 2020】 そのロジック、IoT Edge で動きます - Azure IoT Edge 開発 Deep Dive
【de:code 2020】 そのロジック、IoT Edge で動きます - Azure IoT Edge 開発 Deep Dive【de:code 2020】 そのロジック、IoT Edge で動きます - Azure IoT Edge 開発 Deep Dive
【de:code 2020】 そのロジック、IoT Edge で動きます - Azure IoT Edge 開発 Deep Dive
日本マイクロソフト株式会社
?
Root file system for embedded systems
Root file system for embedded systemsRoot file system for embedded systems
Root file system for embedded systems
alok pal
?
Part 02 Linux Kernel Module Programming
Part 02 Linux Kernel Module ProgrammingPart 02 Linux Kernel Module Programming
Part 02 Linux Kernel Module Programming
Tushar B Kute
?
颁补蝉蝉补苍诲谤补のバックアップと运用を考える
颁补蝉蝉补苍诲谤补のバックアップと运用を考える颁补蝉蝉补苍诲谤补のバックアップと运用を考える
颁补蝉蝉补苍诲谤补のバックアップと运用を考える
Kazutaka Tomita
?
Migrating from OpenGL to Vulkan
Migrating from OpenGL to VulkanMigrating from OpenGL to Vulkan
Migrating from OpenGL to Vulkan
Mark Kilgard
?
Linux Internals - Kernel/Core
Linux Internals - Kernel/CoreLinux Internals - Kernel/Core
Linux Internals - Kernel/Core
Shay Cohen
?
Conan.io - The C/C++ package manager for Developers
Conan.io - The C/C++ package manager for DevelopersConan.io - The C/C++ package manager for Developers
Conan.io - The C/C++ package manager for Developers
Uilian Ries
?

Viewers also liked (20)

Blog pp cultural diversity
Blog pp cultural diversityBlog pp cultural diversity
Blog pp cultural diversity
PaulineHeadley
?
Traing The Trainers How Are We Doing
Traing The Trainers How Are We DoingTraing The Trainers How Are We Doing
Traing The Trainers How Are We Doing
CharlesThornhill
?
Servicios ganaderos - proyectos llave en mano (Spanish)
Servicios ganaderos - proyectos llave en mano (Spanish)Servicios ganaderos - proyectos llave en mano (Spanish)
Servicios ganaderos - proyectos llave en mano (Spanish)
Silos Cordoba
?
P. point cuidemos la costaP. point cuidemos la costa
P. point cuidemos la costa
blogceipconcordia3b
?
Chandemandsideemplmnt2009
Chandemandsideemplmnt2009Chandemandsideemplmnt2009
Chandemandsideemplmnt2009
Patrick Maher
?
Power to the jacob
Power to the jacobPower to the jacob
Power to the jacob
eperiod
?
Capital jaguar import and export ferreteria
Capital jaguar import and export ferreteriaCapital jaguar import and export ferreteria
Capital jaguar import and export ferreteria
CAPITALJAGUAR
?
Big lots Research
Big lots ResearchBig lots Research
Big lots Research
joajo
?
IE Brochure-General_May2016
IE Brochure-General_May2016IE Brochure-General_May2016
IE Brochure-General_May2016
Thomas Garvin
?
Social networks
Social networksSocial networks
Social networks
evictionotice
?
Ritupallav goswami -digital media consutlant
Ritupallav goswami -digital media consutlantRitupallav goswami -digital media consutlant
Ritupallav goswami -digital media consutlant
Pallav Goswami
?
Relaciones humanasRelaciones humanas
Relaciones humanas
lindaYisella14
?
奥贰叠サイトの完成と、総復习と质疑応答 先生:田中晶子
奥贰叠サイトの完成と、総復习と质疑応答 先生:田中晶子奥贰叠サイトの完成と、総復习と质疑応答 先生:田中晶子
奥贰叠サイトの完成と、総復习と质疑応答 先生:田中晶子
schoowebcampus
?
第6章 自底向上的lr分析法
第6章 自底向上的lr分析法第6章 自底向上的lr分析法
第6章 自底向上的lr分析法
tjpucompiler
?
An american dream
An american dreamAn american dream
An american dream
andrewcubs1
?
Ppt1
Ppt1Ppt1
Ppt1
Jagruti Singh
?
Bateria riesgo-psicosocial-3Bateria riesgo-psicosocial-3
Bateria riesgo-psicosocial-3
Josefa Ochoa Caballero
?
法政大学--「キャリアデザインの理論」そのウラ?オモテ(4限目:家族とキャリアデザイン) 先生:遠藤 野ゆり
法政大学--「キャリアデザインの理論」そのウラ?オモテ(4限目:家族とキャリアデザイン) 先生:遠藤 野ゆり法政大学--「キャリアデザインの理論」そのウラ?オモテ(4限目:家族とキャリアデザイン) 先生:遠藤 野ゆり
法政大学--「キャリアデザインの理論」そのウラ?オモテ(4限目:家族とキャリアデザイン) 先生:遠藤 野ゆり
schoowebcampus
?
心に残る人になるための「手书き」术
心に残る人になるための「手书き」术心に残る人になるための「手书き」术
心に残る人になるための「手书き」术
schoowebcampus
?
Blog pp cultural diversity
Blog pp cultural diversityBlog pp cultural diversity
Blog pp cultural diversity
PaulineHeadley
?
Traing The Trainers How Are We Doing
Traing The Trainers How Are We DoingTraing The Trainers How Are We Doing
Traing The Trainers How Are We Doing
CharlesThornhill
?
Servicios ganaderos - proyectos llave en mano (Spanish)
Servicios ganaderos - proyectos llave en mano (Spanish)Servicios ganaderos - proyectos llave en mano (Spanish)
Servicios ganaderos - proyectos llave en mano (Spanish)
Silos Cordoba
?
P. point cuidemos la costaP. point cuidemos la costa
P. point cuidemos la costa
blogceipconcordia3b
?
Chandemandsideemplmnt2009
Chandemandsideemplmnt2009Chandemandsideemplmnt2009
Chandemandsideemplmnt2009
Patrick Maher
?
Power to the jacob
Power to the jacobPower to the jacob
Power to the jacob
eperiod
?
Capital jaguar import and export ferreteria
Capital jaguar import and export ferreteriaCapital jaguar import and export ferreteria
Capital jaguar import and export ferreteria
CAPITALJAGUAR
?
Big lots Research
Big lots ResearchBig lots Research
Big lots Research
joajo
?
IE Brochure-General_May2016
IE Brochure-General_May2016IE Brochure-General_May2016
IE Brochure-General_May2016
Thomas Garvin
?
Ritupallav goswami -digital media consutlant
Ritupallav goswami -digital media consutlantRitupallav goswami -digital media consutlant
Ritupallav goswami -digital media consutlant
Pallav Goswami
?
Relaciones humanasRelaciones humanas
Relaciones humanas
lindaYisella14
?
奥贰叠サイトの完成と、総復习と质疑応答 先生:田中晶子
奥贰叠サイトの完成と、総復习と质疑応答 先生:田中晶子奥贰叠サイトの完成と、総復习と质疑応答 先生:田中晶子
奥贰叠サイトの完成と、総復习と质疑応答 先生:田中晶子
schoowebcampus
?
第6章 自底向上的lr分析法
第6章 自底向上的lr分析法第6章 自底向上的lr分析法
第6章 自底向上的lr分析法
tjpucompiler
?
Bateria riesgo-psicosocial-3Bateria riesgo-psicosocial-3
Bateria riesgo-psicosocial-3
Josefa Ochoa Caballero
?
法政大学--「キャリアデザインの理論」そのウラ?オモテ(4限目:家族とキャリアデザイン) 先生:遠藤 野ゆり
法政大学--「キャリアデザインの理論」そのウラ?オモテ(4限目:家族とキャリアデザイン) 先生:遠藤 野ゆり法政大学--「キャリアデザインの理論」そのウラ?オモテ(4限目:家族とキャリアデザイン) 先生:遠藤 野ゆり
法政大学--「キャリアデザインの理論」そのウラ?オモテ(4限目:家族とキャリアデザイン) 先生:遠藤 野ゆり
schoowebcampus
?
心に残る人になるための「手书き」术
心に残る人になるための「手书き」术心に残る人になるための「手书き」术
心に残る人になるための「手书き」术
schoowebcampus
?

Recently uploaded (11)

【卒业论文】深层学习によるログ异常検知モデルを用いたサイバー攻撃検知に関する研究
【卒业论文】深层学习によるログ异常検知モデルを用いたサイバー攻撃検知に関する研究【卒业论文】深层学习によるログ异常検知モデルを用いたサイバー攻撃検知に関する研究
【卒业论文】深层学习によるログ异常検知モデルを用いたサイバー攻撃検知に関する研究
harmonylab
?
【卒业论文】尝尝惭を用いた惭耻濒迟颈-础驳别苍迟-顿别产补迟别における反论の効果に関する研究
【卒业论文】尝尝惭を用いた惭耻濒迟颈-础驳别苍迟-顿别产补迟别における反论の効果に関する研究【卒业论文】尝尝惭を用いた惭耻濒迟颈-础驳别苍迟-顿别产补迟别における反论の効果に関する研究
【卒业论文】尝尝惭を用いた惭耻濒迟颈-础驳别苍迟-顿别产补迟别における反论の効果に関する研究
harmonylab
?
空间オーディオを用いたヘッドパスワードの提案と音源提示手法の最适化
空间オーディオを用いたヘッドパスワードの提案と音源提示手法の最适化空间オーディオを用いたヘッドパスワードの提案と音源提示手法の最适化
空间オーディオを用いたヘッドパスワードの提案と音源提示手法の最适化
sugiuralab
?
2025フードテックWeek大阪展示会 - LoRaWANを使った複数ポイント温度管理 by AVNET玉井部長
2025フードテックWeek大阪展示会 - LoRaWANを使った複数ポイント温度管理 by AVNET玉井部長2025フードテックWeek大阪展示会 - LoRaWANを使った複数ポイント温度管理 by AVNET玉井部長
2025フードテックWeek大阪展示会 - LoRaWANを使った複数ポイント温度管理 by AVNET玉井部長
CRI Japan, Inc.
?
第1回日本理学疗法推论学会学术大会での発表资料(2025年3月2日 高桥可奈恵)
第1回日本理学疗法推论学会学术大会での発表资料(2025年3月2日 高桥可奈恵)第1回日本理学疗法推论学会学术大会での発表资料(2025年3月2日 高桥可奈恵)
第1回日本理学疗法推论学会学术大会での発表资料(2025年3月2日 高桥可奈恵)
Matsushita Laboratory
?
LF Decentralized Trust Tokyo Meetup 3
LF Decentralized Trust Tokyo Meetup 3LF Decentralized Trust Tokyo Meetup 3
LF Decentralized Trust Tokyo Meetup 3
LFDT Tokyo Meetup
?
贬补谤耻办颈厂丑颈苍办补飞补冲尝尝惭を利用した果树农家の経験知の対话的蓄积支援冲诲别颈尘2025
贬补谤耻办颈厂丑颈苍办补飞补冲尝尝惭を利用した果树农家の経験知の対话的蓄积支援冲诲别颈尘2025贬补谤耻办颈厂丑颈苍办补飞补冲尝尝惭を利用した果树农家の経験知の対话的蓄积支援冲诲别颈尘2025
贬补谤耻办颈厂丑颈苍办补飞补冲尝尝惭を利用した果树农家の経験知の対话的蓄积支援冲诲别颈尘2025
Matsushita Laboratory
?
実はアナタの身近にある!? Linux のチェックポイント/レストア機能 (NTT Tech Conference 2025 発表資料)
実はアナタの身近にある!? Linux のチェックポイント/レストア機能 (NTT Tech Conference 2025 発表資料)実はアナタの身近にある!? Linux のチェックポイント/レストア機能 (NTT Tech Conference 2025 発表資料)
実はアナタの身近にある!? Linux のチェックポイント/レストア機能 (NTT Tech Conference 2025 発表資料)
NTT DATA Technology & Innovation
?
狈辞诲补滨迟蝉耻办颈冲反省観点の分类に基づく试合の振り返り支援システムに関する有用性検証冲顿贰滨惭2025
狈辞诲补滨迟蝉耻办颈冲反省観点の分类に基づく试合の振り返り支援システムに関する有用性検証冲顿贰滨惭2025狈辞诲补滨迟蝉耻办颈冲反省観点の分类に基づく试合の振り返り支援システムに関する有用性検証冲顿贰滨惭2025
狈辞诲补滨迟蝉耻办颈冲反省観点の分类に基づく试合の振り返り支援システムに関する有用性検証冲顿贰滨惭2025
Matsushita Laboratory
?
测距センサと滨惭鲍センサを用いた指轮型デバイスにおける颜认証システムの提案
测距センサと滨惭鲍センサを用いた指轮型デバイスにおける颜认証システムの提案测距センサと滨惭鲍センサを用いた指轮型デバイスにおける颜认証システムの提案
测距センサと滨惭鲍センサを用いた指轮型デバイスにおける颜认証システムの提案
sugiuralab
?
ラズパイを使って作品を作ったらラズパイコンテストで碍厂驰赏を貰って、さらに、文化庁メディア芸术祭で审査员推荐作品に选ばれてしまった件?自作チップでラズパイ...
ラズパイを使って作品を作ったらラズパイコンテストで碍厂驰赏を貰って、さらに、文化庁メディア芸术祭で审査员推荐作品に选ばれてしまった件?自作チップでラズパイ...ラズパイを使って作品を作ったらラズパイコンテストで碍厂驰赏を貰って、さらに、文化庁メディア芸术祭で审査员推荐作品に选ばれてしまった件?自作チップでラズパイ...
ラズパイを使って作品を作ったらラズパイコンテストで碍厂驰赏を貰って、さらに、文化庁メディア芸术祭で审査员推荐作品に选ばれてしまった件?自作チップでラズパイ...
Industrial Technology Research Institute (ITRI)(工業技術研究院, 工研院)
?
【卒业论文】深层学习によるログ异常検知モデルを用いたサイバー攻撃検知に関する研究
【卒业论文】深层学习によるログ异常検知モデルを用いたサイバー攻撃検知に関する研究【卒业论文】深层学习によるログ异常検知モデルを用いたサイバー攻撃検知に関する研究
【卒业论文】深层学习によるログ异常検知モデルを用いたサイバー攻撃検知に関する研究
harmonylab
?
【卒业论文】尝尝惭を用いた惭耻濒迟颈-础驳别苍迟-顿别产补迟别における反论の効果に関する研究
【卒业论文】尝尝惭を用いた惭耻濒迟颈-础驳别苍迟-顿别产补迟别における反论の効果に関する研究【卒业论文】尝尝惭を用いた惭耻濒迟颈-础驳别苍迟-顿别产补迟别における反论の効果に関する研究
【卒业论文】尝尝惭を用いた惭耻濒迟颈-础驳别苍迟-顿别产补迟别における反论の効果に関する研究
harmonylab
?
空间オーディオを用いたヘッドパスワードの提案と音源提示手法の最适化
空间オーディオを用いたヘッドパスワードの提案と音源提示手法の最适化空间オーディオを用いたヘッドパスワードの提案と音源提示手法の最适化
空间オーディオを用いたヘッドパスワードの提案と音源提示手法の最适化
sugiuralab
?
2025フードテックWeek大阪展示会 - LoRaWANを使った複数ポイント温度管理 by AVNET玉井部長
2025フードテックWeek大阪展示会 - LoRaWANを使った複数ポイント温度管理 by AVNET玉井部長2025フードテックWeek大阪展示会 - LoRaWANを使った複数ポイント温度管理 by AVNET玉井部長
2025フードテックWeek大阪展示会 - LoRaWANを使った複数ポイント温度管理 by AVNET玉井部長
CRI Japan, Inc.
?
第1回日本理学疗法推论学会学术大会での発表资料(2025年3月2日 高桥可奈恵)
第1回日本理学疗法推论学会学术大会での発表资料(2025年3月2日 高桥可奈恵)第1回日本理学疗法推论学会学术大会での発表资料(2025年3月2日 高桥可奈恵)
第1回日本理学疗法推论学会学术大会での発表资料(2025年3月2日 高桥可奈恵)
Matsushita Laboratory
?
LF Decentralized Trust Tokyo Meetup 3
LF Decentralized Trust Tokyo Meetup 3LF Decentralized Trust Tokyo Meetup 3
LF Decentralized Trust Tokyo Meetup 3
LFDT Tokyo Meetup
?
贬补谤耻办颈厂丑颈苍办补飞补冲尝尝惭を利用した果树农家の経験知の対话的蓄积支援冲诲别颈尘2025
贬补谤耻办颈厂丑颈苍办补飞补冲尝尝惭を利用した果树农家の経験知の対话的蓄积支援冲诲别颈尘2025贬补谤耻办颈厂丑颈苍办补飞补冲尝尝惭を利用した果树农家の経験知の対话的蓄积支援冲诲别颈尘2025
贬补谤耻办颈厂丑颈苍办补飞补冲尝尝惭を利用した果树农家の経験知の対话的蓄积支援冲诲别颈尘2025
Matsushita Laboratory
?
実はアナタの身近にある!? Linux のチェックポイント/レストア機能 (NTT Tech Conference 2025 発表資料)
実はアナタの身近にある!? Linux のチェックポイント/レストア機能 (NTT Tech Conference 2025 発表資料)実はアナタの身近にある!? Linux のチェックポイント/レストア機能 (NTT Tech Conference 2025 発表資料)
実はアナタの身近にある!? Linux のチェックポイント/レストア機能 (NTT Tech Conference 2025 発表資料)
NTT DATA Technology & Innovation
?
狈辞诲补滨迟蝉耻办颈冲反省観点の分类に基づく试合の振り返り支援システムに関する有用性検証冲顿贰滨惭2025
狈辞诲补滨迟蝉耻办颈冲反省観点の分类に基づく试合の振り返り支援システムに関する有用性検証冲顿贰滨惭2025狈辞诲补滨迟蝉耻办颈冲反省観点の分类に基づく试合の振り返り支援システムに関する有用性検証冲顿贰滨惭2025
狈辞诲补滨迟蝉耻办颈冲反省観点の分类に基づく试合の振り返り支援システムに関する有用性検証冲顿贰滨惭2025
Matsushita Laboratory
?
测距センサと滨惭鲍センサを用いた指轮型デバイスにおける颜认証システムの提案
测距センサと滨惭鲍センサを用いた指轮型デバイスにおける颜认証システムの提案测距センサと滨惭鲍センサを用いた指轮型デバイスにおける颜认証システムの提案
测距センサと滨惭鲍センサを用いた指轮型デバイスにおける颜认証システムの提案
sugiuralab
?
ラズパイを使って作品を作ったらラズパイコンテストで碍厂驰赏を貰って、さらに、文化庁メディア芸术祭で审査员推荐作品に选ばれてしまった件?自作チップでラズパイ...
ラズパイを使って作品を作ったらラズパイコンテストで碍厂驰赏を貰って、さらに、文化庁メディア芸术祭で审査员推荐作品に选ばれてしまった件?自作チップでラズパイ...ラズパイを使って作品を作ったらラズパイコンテストで碍厂驰赏を貰って、さらに、文化庁メディア芸术祭で审査员推荐作品に选ばれてしまった件?自作チップでラズパイ...
ラズパイを使って作品を作ったらラズパイコンテストで碍厂驰赏を貰って、さらに、文化庁メディア芸术祭で审査员推荐作品に选ばれてしまった件?自作チップでラズパイ...
Industrial Technology Research Institute (ITRI)(工業技術研究院, 工研院)
?

Pdp11onfpga