15. class TmdsEncoder extends Module {
val io = IO(new Bundle {
val disp_ena = Input(Bool())
val control = Input(UInt(2.W))
val d_in = Input(UInt(8.W))
val q_out = Output(UInt(10.W))
val test_io = new Bundle {
val p0 = Output(SInt(8.W))
val p1 = Output(SInt(8.W))
}
})
io.q_out := 0.U
val q_m = Wire(UInt(9.W))
val ones_din = Wire(UInt(4.W))
val ones_q_m = Wire(UInt(4.W))
val diff_q_m = Wire(SInt(8.W))
val disparity = Wire(SInt(8.W))
val disparity_reg = RegInit(0.S(8.W))
TMDS変換回路(部分)