際際滷

際際滷Share a Scribd company logo
VHDL
Presented by :- Aaqib Ashraf Parrey
Basit
VHDL
 VHDL is Hardware Language
 Describes the behavior of an electronic circuit or system
 Full form VHDL is VHSIC HDL
or
Very High Speed Integrated Circuit Hardware Description
Language
 VHDL is only for Digital IC and not for Analog IC
 VHDL uses gate level design abstraction
History of VHDL
 VHDL was developed by Department of Defense  US
1980
 1980-87= Department of Defense
 1987 DOD permitted for commercial purpose and got
IEEE Std (1076)
 1993 Revised Edition (not synthesizable in this period)
 1996 Revised Further( It is synthesized language and
simulation tools were added)
VHDL vs. C language
 VHDL is Concurrent Language while as C is
sequential language.
 VHDL is synthesizable while as C is not.
VHDL Capabilities
 It is case insensitive Language
 It is vendor independent (Xilinx, Altera, Modelsim.etc)
 It supports synthesis (VHDL to Netlist)
 It supports simulation i.e. in the absence of real system we
simulate the function by taking a model of the function
 It supports 9 value logic system
Program structure in VHDL
 Library
--- list of libraries
 Entity
---I/O pins of the circuit
 Architecture
--- VHDL code
Library Declarations
 Two lines of code are needed
 name of library and use clause
 Syntax
 Three different libraries
 ieee
 standard
 work
LIBRARY library_name;
USE library_name.package_name.package_part;
Library
 Library ieee;
Use ieee.std_logic_1164.all;
 Use ieee library
 Use Std_logic_1164 package of library
 Use above package completely
Entity
 Specification of all input-output pins (ports)
 interface to outside world
 Syntax
 Signal mode: IN, OUT, INOUT, BUFFER
 IN- unidirectional (only read the signal)
 OUT- unidirectional (only write to the signal)
ENTITY entity_name IS
PORT (
Port_name: signal_mode signal_type;
Port_name: signal_mode signal_type;
.)
END entity_name;
Inputs and Outputs
Chip
A
B
C
D
E
Entity
 Entity is the building block of VHDL program. It is used
to declare input and output ports.
 Example :-
entity ABCD is
port ( a : in std_logic;
b : in std_logic;
c : out std_logic);
end ABCD;
Architecture
 Description of how the circuit should behave
 Syntax
 Two parts:
Declarative part (optional): signals and
constants are declared here
Code part
ARCHITECTURE architecture_name OF entity_name IS
[declaration]
BEGIN
(code)
END architecture_name;
ChipA
B
C
D
EX
Y
Architecture
 It is the last and the third part of VHDL program. In this
part we are writing logic of program.
 Example
architecture myDEF of ABCD is
begin
output <= input1 and input2;
end myDEF;
Types of modeling style in VHDL
 Data flow modeling (Design Equations)
 Behavioral modeling (Explains Behavior)
 Structural modeling (Connection of sub modules)
 Hybrid modeling
Signal
 All internal variables
Signal X,Y : std_logic;
Chip
Signal
A
B
C
D
EX
Y
Final code
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY TEST IS
PORT (A,B,C,D : IN STD_LOGIC;
E : OUT STD_LOGIC);
END TEST;
ARCHITECTURE BEHAVIOR OF TEST IS
SIGNAL X,Y : STD_LOGIC;
BEGIN
X <= AAND B;
Y <= C AND D;
E <= X OR Y;
END BEHAVIOR;
Multiplexer
Code for multiplexer
Simulation results
D- flip flop
d q
DFF
Clk
rst
R C D Q
1 1/0 1/0 0
0 0 1 0
0 1 1 1
Vhdl
SIMULATION RESULT OF D-FLIP FLOP
THANK YOU

More Related Content

What's hot (20)

Hdl
HdlHdl
Hdl
Areeba Gill
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
Rohit Chintu
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
Abhilash Nair
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
Mohamed Samy
Logic Synthesis
Logic SynthesisLogic Synthesis
Logic Synthesis
VandanaPagar1
Vhdl
VhdlVhdl
Vhdl
m.karthik Mkarthik.Jan
Verilog
VerilogVerilog
Verilog
DEVANSHU JAISWAL
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
SSE_AndyLi
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
Digital Marketing Evangelist
Vhdl 1
Vhdl 1Vhdl 1
Vhdl 1
忰愕 悖惆
Vhdl 1 ppg
Vhdl 1 ppgVhdl 1 ppg
Vhdl 1 ppg
Akshay Nagpurkar
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
B辿o T炭
Verilog
VerilogVerilog
Verilog
Mr SMAK
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
Paul Laskowski
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
Eutectics
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
Nima Shafiee
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
Dhaval Shukla
Vhdl
VhdlVhdl
Vhdl
SHRUTI MURGAI
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
Yaser Kalifa
Hardware Description Language
Hardware Description Language Hardware Description Language
Hardware Description Language
Prachi Pandey
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
Rohit Chintu
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
Abhilash Nair
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
Mohamed Samy
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
SSE_AndyLi
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
B辿o T炭
Verilog
VerilogVerilog
Verilog
Mr SMAK
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
Paul Laskowski
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
Eutectics
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
Nima Shafiee
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
Dhaval Shukla
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
Yaser Kalifa
Hardware Description Language
Hardware Description Language Hardware Description Language
Hardware Description Language
Prachi Pandey

Similar to Vhdl (20)

VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
ABHISHEKJHA176786
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
SIVA NAGENDRA REDDY
VHDL for beginners in Printed Circuit Board designing
VHDL for beginners in Printed Circuit Board designingVHDL for beginners in Printed Circuit Board designing
VHDL for beginners in Printed Circuit Board designing
merlynsheena
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
Dr.YNM
UNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla blaUNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla bla
SEN150VAIBHAVWAKHARE
L6_際際滷s_vhdl coures temporary hair dye for dark hair
L6_際際滷s_vhdl coures temporary hair dye for dark hairL6_際際滷s_vhdl coures temporary hair dye for dark hair
L6_際際滷s_vhdl coures temporary hair dye for dark hair
loyad20119
Verilogspk1
Verilogspk1Verilogspk1
Verilogspk1
supriya kurlekar
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
MalligaarjunanN
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
iconicyt2
Vhdl new
Vhdl newVhdl new
Vhdl new
Sharad Institute of Technology,college of Engineering,Yadrav
Embedded system
Embedded systemEmbedded system
Embedded system
ashraf eltholth
02 direct3 d_pipeline
02 direct3 d_pipeline02 direct3 d_pipeline
02 direct3 d_pipeline
Girish Ghate
Design And Simulation of Electronic Circuits Lec_02
Design And Simulation of Electronic Circuits Lec_02Design And Simulation of Electronic Circuits Lec_02
Design And Simulation of Electronic Circuits Lec_02
Mohamed Atef
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentation
dhananjeyanrece
Bases du langage VHDL vhdl_Tutorial.ppt
Bases du langage VHDL  vhdl_Tutorial.pptBases du langage VHDL  vhdl_Tutorial.ppt
Bases du langage VHDL vhdl_Tutorial.ppt
saidayhas
Verilog
VerilogVerilog
Verilog
Mohamed Rayan
vhdlTutorial VHDL notes, introductions and Basic concepts
vhdlTutorial VHDL notes, introductions and Basic conceptsvhdlTutorial VHDL notes, introductions and Basic concepts
vhdlTutorial VHDL notes, introductions and Basic concepts
AnilNaik42
vhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer designvhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer design
keerthikagovindasamy
Verilog Tutorial for learning verilog a
Verilog Tutorial for learning verilog  aVerilog Tutorial for learning verilog  a
Verilog Tutorial for learning verilog a
SudipGhosh658329
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
raju reddy
VHDL for beginners in Printed Circuit Board designing
VHDL for beginners in Printed Circuit Board designingVHDL for beginners in Printed Circuit Board designing
VHDL for beginners in Printed Circuit Board designing
merlynsheena
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
Dr.YNM
UNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla blaUNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla bla
SEN150VAIBHAVWAKHARE
L6_際際滷s_vhdl coures temporary hair dye for dark hair
L6_際際滷s_vhdl coures temporary hair dye for dark hairL6_際際滷s_vhdl coures temporary hair dye for dark hair
L6_際際滷s_vhdl coures temporary hair dye for dark hair
loyad20119
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
MalligaarjunanN
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
iconicyt2
02 direct3 d_pipeline
02 direct3 d_pipeline02 direct3 d_pipeline
02 direct3 d_pipeline
Girish Ghate
Design And Simulation of Electronic Circuits Lec_02
Design And Simulation of Electronic Circuits Lec_02Design And Simulation of Electronic Circuits Lec_02
Design And Simulation of Electronic Circuits Lec_02
Mohamed Atef
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentation
dhananjeyanrece
Bases du langage VHDL vhdl_Tutorial.ppt
Bases du langage VHDL  vhdl_Tutorial.pptBases du langage VHDL  vhdl_Tutorial.ppt
Bases du langage VHDL vhdl_Tutorial.ppt
saidayhas
vhdlTutorial VHDL notes, introductions and Basic concepts
vhdlTutorial VHDL notes, introductions and Basic conceptsvhdlTutorial VHDL notes, introductions and Basic concepts
vhdlTutorial VHDL notes, introductions and Basic concepts
AnilNaik42
vhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer designvhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer design
keerthikagovindasamy
Verilog Tutorial for learning verilog a
Verilog Tutorial for learning verilog  aVerilog Tutorial for learning verilog  a
Verilog Tutorial for learning verilog a
SudipGhosh658329
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
raju reddy

Recently uploaded (20)

Sachpazis: Foundation Analysis and Design: Single Piles
Sachpazis: Foundation Analysis and Design: Single PilesSachpazis: Foundation Analysis and Design: Single Piles
Sachpazis: Foundation Analysis and Design: Single Piles
Dr.Costas Sachpazis
Unit II: Design of Static Equipment Foundations
Unit II: Design of Static Equipment FoundationsUnit II: Design of Static Equipment Foundations
Unit II: Design of Static Equipment Foundations
Sanjivani College of Engineering, Kopargaon
only history of java.pptx real bihind the name java
only history of java.pptx real bihind the name javaonly history of java.pptx real bihind the name java
only history of java.pptx real bihind the name java
mushtaqsaliq9
Air pollution is contamination of the indoor or outdoor environment by any ch...
Air pollution is contamination of the indoor or outdoor environment by any ch...Air pollution is contamination of the indoor or outdoor environment by any ch...
Air pollution is contamination of the indoor or outdoor environment by any ch...
dhanashree78
Frankfurt University of Applied Science urkunde
Frankfurt University of Applied Science urkundeFrankfurt University of Applied Science urkunde
Frankfurt University of Applied Science urkunde
Lisa Emerson
Industrial Valves, Instruments Products Profile
Industrial Valves, Instruments Products ProfileIndustrial Valves, Instruments Products Profile
Industrial Valves, Instruments Products Profile
zebcoeng
CS3451 INTRODUCTIONN TO OS unit ONE .pdf
CS3451 INTRODUCTIONN TO OS unit ONE .pdfCS3451 INTRODUCTIONN TO OS unit ONE .pdf
CS3451 INTRODUCTIONN TO OS unit ONE .pdf
PonniS7
Turbocor Product and Technology Review.pdf
Turbocor Product and Technology Review.pdfTurbocor Product and Technology Review.pdf
Turbocor Product and Technology Review.pdf
Totok Sulistiyanto
CS3451-OPERATING-SYSTEM NOTES ALL123.pdf
CS3451-OPERATING-SYSTEM NOTES ALL123.pdfCS3451-OPERATING-SYSTEM NOTES ALL123.pdf
CS3451-OPERATING-SYSTEM NOTES ALL123.pdf
PonniS7
Integration of Additive Manufacturing (AM) with IoT : A Smart Manufacturing A...
Integration of Additive Manufacturing (AM) with IoT : A Smart Manufacturing A...Integration of Additive Manufacturing (AM) with IoT : A Smart Manufacturing A...
Integration of Additive Manufacturing (AM) with IoT : A Smart Manufacturing A...
ASHISHDESAI85
How Engineering Model Making Brings Designs to Life.pdf
How Engineering Model Making Brings Designs to Life.pdfHow Engineering Model Making Brings Designs to Life.pdf
How Engineering Model Making Brings Designs to Life.pdf
Maadhu Creatives-Model Making Company
How to Build a Maze Solving Robot Using Arduino
How to Build a Maze Solving Robot Using ArduinoHow to Build a Maze Solving Robot Using Arduino
How to Build a Maze Solving Robot Using Arduino
CircuitDigest
Cloud Computing concepts and technologies
Cloud Computing concepts and technologiesCloud Computing concepts and technologies
Cloud Computing concepts and technologies
ssuser4c9444
Syntax Directed Definitions Synthesized Attributes and Inherited Attributes
Syntax Directed Definitions  Synthesized Attributes  and  Inherited AttributesSyntax Directed Definitions  Synthesized Attributes  and  Inherited Attributes
Syntax Directed Definitions Synthesized Attributes and Inherited Attributes
GunjalSanjay
google_developer_group_ramdeobaba_university_EXPLORE_PPT
google_developer_group_ramdeobaba_university_EXPLORE_PPTgoogle_developer_group_ramdeobaba_university_EXPLORE_PPT
google_developer_group_ramdeobaba_university_EXPLORE_PPT
JayeshShete1
How to Make an RFID Door Lock System using Arduino
How to Make an RFID Door Lock System using ArduinoHow to Make an RFID Door Lock System using Arduino
How to Make an RFID Door Lock System using Arduino
CircuitDigest
Mathematics behind machine learning INT255 INT255__Unit 3__PPT-1.pptx
Mathematics behind machine learning INT255 INT255__Unit 3__PPT-1.pptxMathematics behind machine learning INT255 INT255__Unit 3__PPT-1.pptx
Mathematics behind machine learning INT255 INT255__Unit 3__PPT-1.pptx
ppkmurthy2006
04 MAINTENANCE OF CONCRETE PAVEMENTS.ppt
04  MAINTENANCE OF CONCRETE PAVEMENTS.ppt04  MAINTENANCE OF CONCRETE PAVEMENTS.ppt
04 MAINTENANCE OF CONCRETE PAVEMENTS.ppt
sreenath seenu
Multi objective genetic approach with Ranking
Multi objective genetic approach with RankingMulti objective genetic approach with Ranking
Multi objective genetic approach with Ranking
namisha18
Wireless-Charger presentation for seminar .pdf
Wireless-Charger presentation for seminar .pdfWireless-Charger presentation for seminar .pdf
Wireless-Charger presentation for seminar .pdf
AbhinandanMishra30
Sachpazis: Foundation Analysis and Design: Single Piles
Sachpazis: Foundation Analysis and Design: Single PilesSachpazis: Foundation Analysis and Design: Single Piles
Sachpazis: Foundation Analysis and Design: Single Piles
Dr.Costas Sachpazis
only history of java.pptx real bihind the name java
only history of java.pptx real bihind the name javaonly history of java.pptx real bihind the name java
only history of java.pptx real bihind the name java
mushtaqsaliq9
Air pollution is contamination of the indoor or outdoor environment by any ch...
Air pollution is contamination of the indoor or outdoor environment by any ch...Air pollution is contamination of the indoor or outdoor environment by any ch...
Air pollution is contamination of the indoor or outdoor environment by any ch...
dhanashree78
Frankfurt University of Applied Science urkunde
Frankfurt University of Applied Science urkundeFrankfurt University of Applied Science urkunde
Frankfurt University of Applied Science urkunde
Lisa Emerson
Industrial Valves, Instruments Products Profile
Industrial Valves, Instruments Products ProfileIndustrial Valves, Instruments Products Profile
Industrial Valves, Instruments Products Profile
zebcoeng
CS3451 INTRODUCTIONN TO OS unit ONE .pdf
CS3451 INTRODUCTIONN TO OS unit ONE .pdfCS3451 INTRODUCTIONN TO OS unit ONE .pdf
CS3451 INTRODUCTIONN TO OS unit ONE .pdf
PonniS7
Turbocor Product and Technology Review.pdf
Turbocor Product and Technology Review.pdfTurbocor Product and Technology Review.pdf
Turbocor Product and Technology Review.pdf
Totok Sulistiyanto
CS3451-OPERATING-SYSTEM NOTES ALL123.pdf
CS3451-OPERATING-SYSTEM NOTES ALL123.pdfCS3451-OPERATING-SYSTEM NOTES ALL123.pdf
CS3451-OPERATING-SYSTEM NOTES ALL123.pdf
PonniS7
Integration of Additive Manufacturing (AM) with IoT : A Smart Manufacturing A...
Integration of Additive Manufacturing (AM) with IoT : A Smart Manufacturing A...Integration of Additive Manufacturing (AM) with IoT : A Smart Manufacturing A...
Integration of Additive Manufacturing (AM) with IoT : A Smart Manufacturing A...
ASHISHDESAI85
How to Build a Maze Solving Robot Using Arduino
How to Build a Maze Solving Robot Using ArduinoHow to Build a Maze Solving Robot Using Arduino
How to Build a Maze Solving Robot Using Arduino
CircuitDigest
Cloud Computing concepts and technologies
Cloud Computing concepts and technologiesCloud Computing concepts and technologies
Cloud Computing concepts and technologies
ssuser4c9444
Syntax Directed Definitions Synthesized Attributes and Inherited Attributes
Syntax Directed Definitions  Synthesized Attributes  and  Inherited AttributesSyntax Directed Definitions  Synthesized Attributes  and  Inherited Attributes
Syntax Directed Definitions Synthesized Attributes and Inherited Attributes
GunjalSanjay
google_developer_group_ramdeobaba_university_EXPLORE_PPT
google_developer_group_ramdeobaba_university_EXPLORE_PPTgoogle_developer_group_ramdeobaba_university_EXPLORE_PPT
google_developer_group_ramdeobaba_university_EXPLORE_PPT
JayeshShete1
How to Make an RFID Door Lock System using Arduino
How to Make an RFID Door Lock System using ArduinoHow to Make an RFID Door Lock System using Arduino
How to Make an RFID Door Lock System using Arduino
CircuitDigest
Mathematics behind machine learning INT255 INT255__Unit 3__PPT-1.pptx
Mathematics behind machine learning INT255 INT255__Unit 3__PPT-1.pptxMathematics behind machine learning INT255 INT255__Unit 3__PPT-1.pptx
Mathematics behind machine learning INT255 INT255__Unit 3__PPT-1.pptx
ppkmurthy2006
04 MAINTENANCE OF CONCRETE PAVEMENTS.ppt
04  MAINTENANCE OF CONCRETE PAVEMENTS.ppt04  MAINTENANCE OF CONCRETE PAVEMENTS.ppt
04 MAINTENANCE OF CONCRETE PAVEMENTS.ppt
sreenath seenu
Multi objective genetic approach with Ranking
Multi objective genetic approach with RankingMulti objective genetic approach with Ranking
Multi objective genetic approach with Ranking
namisha18
Wireless-Charger presentation for seminar .pdf
Wireless-Charger presentation for seminar .pdfWireless-Charger presentation for seminar .pdf
Wireless-Charger presentation for seminar .pdf
AbhinandanMishra30

Vhdl

  • 1. VHDL Presented by :- Aaqib Ashraf Parrey Basit
  • 2. VHDL VHDL is Hardware Language Describes the behavior of an electronic circuit or system Full form VHDL is VHSIC HDL or Very High Speed Integrated Circuit Hardware Description Language VHDL is only for Digital IC and not for Analog IC VHDL uses gate level design abstraction
  • 3. History of VHDL VHDL was developed by Department of Defense US 1980 1980-87= Department of Defense 1987 DOD permitted for commercial purpose and got IEEE Std (1076) 1993 Revised Edition (not synthesizable in this period) 1996 Revised Further( It is synthesized language and simulation tools were added)
  • 4. VHDL vs. C language VHDL is Concurrent Language while as C is sequential language. VHDL is synthesizable while as C is not.
  • 5. VHDL Capabilities It is case insensitive Language It is vendor independent (Xilinx, Altera, Modelsim.etc) It supports synthesis (VHDL to Netlist) It supports simulation i.e. in the absence of real system we simulate the function by taking a model of the function It supports 9 value logic system
  • 6. Program structure in VHDL Library --- list of libraries Entity ---I/O pins of the circuit Architecture --- VHDL code
  • 7. Library Declarations Two lines of code are needed name of library and use clause Syntax Three different libraries ieee standard work LIBRARY library_name; USE library_name.package_name.package_part;
  • 8. Library Library ieee; Use ieee.std_logic_1164.all; Use ieee library Use Std_logic_1164 package of library Use above package completely
  • 9. Entity Specification of all input-output pins (ports) interface to outside world Syntax Signal mode: IN, OUT, INOUT, BUFFER IN- unidirectional (only read the signal) OUT- unidirectional (only write to the signal) ENTITY entity_name IS PORT ( Port_name: signal_mode signal_type; Port_name: signal_mode signal_type; .) END entity_name; Inputs and Outputs Chip A B C D E
  • 10. Entity Entity is the building block of VHDL program. It is used to declare input and output ports. Example :- entity ABCD is port ( a : in std_logic; b : in std_logic; c : out std_logic); end ABCD;
  • 11. Architecture Description of how the circuit should behave Syntax Two parts: Declarative part (optional): signals and constants are declared here Code part ARCHITECTURE architecture_name OF entity_name IS [declaration] BEGIN (code) END architecture_name; ChipA B C D EX Y
  • 12. Architecture It is the last and the third part of VHDL program. In this part we are writing logic of program. Example architecture myDEF of ABCD is begin output <= input1 and input2; end myDEF;
  • 13. Types of modeling style in VHDL Data flow modeling (Design Equations) Behavioral modeling (Explains Behavior) Structural modeling (Connection of sub modules) Hybrid modeling
  • 14. Signal All internal variables Signal X,Y : std_logic; Chip Signal A B C D EX Y
  • 15. Final code LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY TEST IS PORT (A,B,C,D : IN STD_LOGIC; E : OUT STD_LOGIC); END TEST; ARCHITECTURE BEHAVIOR OF TEST IS SIGNAL X,Y : STD_LOGIC; BEGIN X <= AAND B; Y <= C AND D; E <= X OR Y; END BEHAVIOR;
  • 19. D- flip flop d q DFF Clk rst R C D Q 1 1/0 1/0 0 0 0 1 0 0 1 1 1
  • 21. SIMULATION RESULT OF D-FLIP FLOP