The document provides a summary of the individual's relevant experiences in various engineering fields including space systems, aeropropulsion, semiconductors, automotive, biomedical, and fuel cells. It highlights two commonalities among the experiences: 1) a combination of hands-on engineering and testing with analytical tools for analysis, design, and measurements; and 2) working with complex multi-physics systems involving fluid interactions. Brief details are provided about the individual's roles and responsibilities in different positions at organizations such as NASA, universities, and startups focused on areas like turbomachinery, plasma systems, diagnostics, and more.
MESH Engineering & Software Co. provides advanced engineering solutions such as finite element analysis, computational fluid dynamics, and software development. It offers structural analysis, fluid dynamics analysis, and vibro-acoustic analysis to design economical, robust, and environmentally friendly products. MESH's analyses help verify designs, improve products, and avoid costs from unnecessary prototypes or testing.
This document discusses the challenges of navigating International Traffic in Arms Regulations (ITAR) compliance on complex international projects like the James Webb Space Telescope (JWST). There are over 130 Technical Assistance Agreements required due to the large number of international partners and subcontractors involved. Full project meetings and data/information sharing require careful registration and documentation to remain ITAR compliant. The Next Generation Integrated Network is the tool developed for JWST to manage ITAR-controlled data. Consistently interpreting and applying ITAR regulations across all entities remains difficult.
Standardization of additive manufacturing is important for three reasons: 1) properties of additively manufactured parts are not consistent according to a standard, making industrial uptake impossible; 2) the fast evolution of additive manufacturing has outpaced traditional standardization procedures; and 3) over-regulation of existing industries makes it difficult for additive manufacturing to compete as a new technology. There are active standardization efforts occurring through various international standards organizations like ISO and CEN, as well as in the US, EU, and other countries to develop standards that will enable the quality control, data processing, materials, and other aspects needed for industrial
NASA conducts research and missions to further understand and forecast space weather. Present missions like SOHO, STEREO, and ACE provide data on solar eruptions and their propagation. Future missions like Solar Orbiter and the Radiation Belt Storm Probes will enhance coverage. NASA supports modeling efforts at the Community Coordinated Modeling Center to predict solar eruptions and their impacts. Models incorporate data from NASA missions to provide forecasts and situational awareness of space weather events.
Our counter-terrorism courses are designed for all those involved in the security industry allowing to know the phenomenon in details, because only by knowing the enemy it is possible to implement countermeasures to prevent attacks and damage. From suicide bombers to the Islamic State expansion and the growth of cyber terrorism, our counter-terror courses allow to understand motivations and modus operandi of the terrorists. On request specific courses for law enforcement can be designed.
ASML reports Q3 results in line with guidance and remains on track for record 2015 sales. Two new lithography scanners were launched. Q3 sales were €1.549 billion with a gross margin of 45.4% and net bookings of €904 million. Memory demand accounted for over 50% of system sales. ASML expects Q4 net sales of approximately €1.4 billion and gross margin around 45%.
This document summarizes the state-of-the-art in EUV resist platforms for patterning at the single digit nanometer resolution required for mass production. It evaluates positive tone organic chemically amplified resists (CARs), negative tone Sn-based resists, and negative tone chemically amplified molecular resists. Resists were tested on an EUV interference lithography beamline capable of resolving down to 7nm. CARs demonstrated patterning of 16nm and 14nm half-pitches with some showing resolution down to 13nm. The molecular resists xMT-0614 and xMT-0801 resolved 16nm and had potential for sub-14nm patterning. The Sn-based resist
Semiconductor equipment industry report, 2009168report
Ìý
This document provides a 116-page report on the semiconductor equipment industry in 2009. It summarizes the drastic decline in the industry from 2007 to 2009, with nearly all manufacturers seeing less than half of their 2007 revenue levels. The report analyzes market trends for various semiconductor equipment manufacturers and segments, including lithography, wafer fabrication, memory, and IDM. It also profiles the top 20 semiconductor equipment companies and examines their financial performance over this period.
The document evaluates the performance of several chemically amplified resists for EUV lithography using interference lithography down to 11 nm half-pitch resolutions. Resists R1UL1 and R15UL1 demonstrated the ability to resolve patterns down to 16 nm half-pitch with exposure latitude greater than 20% and sensitivity around 35 mJ/cm2, meeting requirements for high volume manufacturing. R1UL1 in particular provided versatile patterning from 16-22 nm half-pitch with low line edge roughness. Evaluations also showed 11 nm half-pitch patterning is possible with some resists, though collapsing patterns remain a challenge at these small resolutions.
This document describes the fabrication and characterization of vertically stacked silicon nanowire field effect transistors for biosensing applications. A process using BOSCH etching and sacrificial oxidation is developed to create arrays of vertically stacked silicon nanowires with diameters less than 40 nm, lengths over 1 micron, and densities up to 10 nanowires per micron. The nanowires are electrically characterized in dry and liquid conditions, showing good electrostatic control in liquid with subthreshold swings of 100 mV/decade and on-currents over 2 mA/micron. The vertically stacked nanowire design and fabrication process aim to increase the sensitivity of field effect transistor biosensors.
DMA Finland is a business association representing over 200 member companies and 3,000 professionals in the data-driven business sector in Finland. It has over 40 years of experience in the business community and provides its members with various services, including 500+ legal consultation sessions per year and 20+ seminars and events. A 2014 membership survey found that over 90% of members highly appreciate DMA Finland's lobbying activities, legal services, and events.
The document discusses the growing problem of counterfeit electronic parts, providing statistics on its global economic costs and impacts. It defines counterfeit parts and gives examples of different types. The presentation covers the scope and sources of counterfeiting, consequences for products and missions, and proposes solutions like the SAE AS5553 standard and increased cooperation across industries.
Intel is a US-based semiconductor company founded in 1968. It produces microprocessors, chipsets, flash memory and other products. Intel was initially successful with memory chips but lost market share to Japanese competitors in the 1980s due to stronger manufacturing capabilities. It exited the memory business in 1985. Intel transitioned its focus to microprocessors and used strategic branding and partnerships to dominate the microprocessor market, gaining over 80% share.
Mission Possible: You Can Achieve Touchless Invoice ProcessingSAP Ariba
Ìý
If you’re ready to move on from paper invoice processing, this session is for you. Hear from customers who have automated their accounts payable operations to accelerate invoice processing, eliminate invoice errors and exceptions, improve on-time payment performance, and improve compliance. With a smart invoicing approach, you can free up accounts payable resources to focus on higher-value activities that help improve business performance.
The document discusses ASML's use of Sirius and model-driven engineering to develop its Multi-Disciplinary System Engineering (MDSE) ecosystem. It describes ASML's adoption of the Data-Control-Algorithm (DCA) architectural pattern to simplify its software architecture. It also outlines ASML's Software Modeling Environment (ASOME), which uses a family of domain-specific languages developed with Sirius to model different aspects of systems in their optimal forms and support integration. The document shares some ideas for improving Sirius' support for reuse, textual syntax, and customization of styles.
Public Presentation, ASML EUV forecast Jul 2010JVervoort
Ìý
The document discusses progress on EUV lithography systems for semiconductor manufacturing. It outlines ASML's lithography roadmap to support Moore's Law with EUV technology. It describes the status of their 0.25NA and 0.32NA EUV systems, including resolution improvements achieved and integration progress. It provides outlook on their EUV roadmap and future systems aimed at 16nm nodes and beyond.
The document discusses the challenges of integrating electronics for complex systems as semiconductor technology advances according to Moore's law. It describes how Electronic Development addresses this challenge through an organizational structure of functional clusters, building blocks, and platforms to manage complexity and enable parallel development. The goal is fast and predictable integration through well-defined interfaces and early integration testing to reduce problems during system realization.
Basic introduction to solar PV System Presentation.
The need for renewable energy resources has never been bigger than today and so is a lot of research going to match this high energy demand. Solar PV Array technology is one such technique which can actually make the effective use of solar energy available to us.
SiriusCon2016 - Une plateforme de modelisation support au PLM de l'ingenierie...Obeo
Ìý
>> These slides were presented (in french) at SiriusCon Paris 2016, on November 15th, by Ludovic LOUIS-SIDNEY 'EDF) and Ludovic CHAMPEAU (EDF)
EDF nuclear Engineering is involved in a PLM approach partly based on the implementation of a data centric process.
This approach requires to control an important volume of technical data during the whole lifecycle of the nuclear power plant.
Usage of a collaborative modeling platform, aiming at processes and technical data elucidation, is a performance lever for Business analyst and Developers (improve functional specifications consistency, facilitate information system configuration).
Only 9% of companies use sound branding but Intel has successfully used its distinctive startup sound for years. The Intel Inside campaign aimed to educate consumers about Intel's superior microprocessors without technical jargon. It helped Intel differentiate its products from clones, increased awareness of Intel logos from 24% to 94%, and boosted worldwide sales 63% within a year of the campaign. The strategy established Intel as a reliable, high-quality brand and propelled it into the top 10 most valuable brands by 2002.
The Intel Inside campaign was highly successful for Intel. It increased Intel's market capitalization from $1 billion to $5 billion by 2003 and worldwide sales rose 63% in its first year. The campaign made Intel synonymous with processors and helped launch new chip lines. It simplified an understanding of chips for customers. However, the campaign also made diversification difficult without changing the theme. For AMD, copying the campaign would not be as effective since Intel had already established brand recognition, so AMD should focus on value, efficacy, and low-cost markets. Intel segmented based on performance and price to target different market segments.
ProSIM has been providing engineering design, and R&D services to OEMs, Operators, EPC contractors, System Integrators and vendors of nuclear power sector. ProSIM has assisted in the design and seismic evaluation/ analysis of systems, structures and components (SSCs) of nuclear power plants (NPP). Driven by its competence and focus on quality and project management processes, ProSIM has delivered value to its customers. ProSIM has interacted with regulatory bodies and code committees related to nuclear design codes. Methodologies for seismic analysis of mechanical equipment (rotary and static), electrical engineering, instrumentation and control, and structures have been developed by ProSIM using ASME boiler and pressure vessel (B&PV), RCC, IEEE, ASCE and similar codes. Several hundreds of reports of seismic analysis/ evaluation submitted by ProSIM have been approved by the operators or regulatory bodies. ProSIM has taken up several detailed engineering projects. Worked on design optimisation of structures/ equipment, pipelines, supports etc. ProSIM has also supported seismic qualification of equipment/ systems by physical testing by coordinating with agencies.
In addition to the seismic analysis during engineering stage for structural integrity assessment, ProSIM has worked on seismic margin assessment, seismic re-evaluation, fitness for service (FFS), remaining life assessment and extension (RLA/RLE), and failure analysis.
The document announces an upcoming industry-academia conclave with the theme of emerging materials and methods for manufacturing and construction. It outlines several thrust areas and challenges identified by the departments of mechanical engineering and civil engineering at the conclave. These include statistical quality control, renewable energy, construction materials, and structural engineering. It also lists some of the ongoing research projects in these areas, such as geopolymer concrete, solar energy utilization, and seismic analysis of buildings.
ASML reports Q3 results in line with guidance and remains on track for record 2015 sales. Two new lithography scanners were launched. Q3 sales were €1.549 billion with a gross margin of 45.4% and net bookings of €904 million. Memory demand accounted for over 50% of system sales. ASML expects Q4 net sales of approximately €1.4 billion and gross margin around 45%.
This document summarizes the state-of-the-art in EUV resist platforms for patterning at the single digit nanometer resolution required for mass production. It evaluates positive tone organic chemically amplified resists (CARs), negative tone Sn-based resists, and negative tone chemically amplified molecular resists. Resists were tested on an EUV interference lithography beamline capable of resolving down to 7nm. CARs demonstrated patterning of 16nm and 14nm half-pitches with some showing resolution down to 13nm. The molecular resists xMT-0614 and xMT-0801 resolved 16nm and had potential for sub-14nm patterning. The Sn-based resist
Semiconductor equipment industry report, 2009168report
Ìý
This document provides a 116-page report on the semiconductor equipment industry in 2009. It summarizes the drastic decline in the industry from 2007 to 2009, with nearly all manufacturers seeing less than half of their 2007 revenue levels. The report analyzes market trends for various semiconductor equipment manufacturers and segments, including lithography, wafer fabrication, memory, and IDM. It also profiles the top 20 semiconductor equipment companies and examines their financial performance over this period.
The document evaluates the performance of several chemically amplified resists for EUV lithography using interference lithography down to 11 nm half-pitch resolutions. Resists R1UL1 and R15UL1 demonstrated the ability to resolve patterns down to 16 nm half-pitch with exposure latitude greater than 20% and sensitivity around 35 mJ/cm2, meeting requirements for high volume manufacturing. R1UL1 in particular provided versatile patterning from 16-22 nm half-pitch with low line edge roughness. Evaluations also showed 11 nm half-pitch patterning is possible with some resists, though collapsing patterns remain a challenge at these small resolutions.
This document describes the fabrication and characterization of vertically stacked silicon nanowire field effect transistors for biosensing applications. A process using BOSCH etching and sacrificial oxidation is developed to create arrays of vertically stacked silicon nanowires with diameters less than 40 nm, lengths over 1 micron, and densities up to 10 nanowires per micron. The nanowires are electrically characterized in dry and liquid conditions, showing good electrostatic control in liquid with subthreshold swings of 100 mV/decade and on-currents over 2 mA/micron. The vertically stacked nanowire design and fabrication process aim to increase the sensitivity of field effect transistor biosensors.
DMA Finland is a business association representing over 200 member companies and 3,000 professionals in the data-driven business sector in Finland. It has over 40 years of experience in the business community and provides its members with various services, including 500+ legal consultation sessions per year and 20+ seminars and events. A 2014 membership survey found that over 90% of members highly appreciate DMA Finland's lobbying activities, legal services, and events.
The document discusses the growing problem of counterfeit electronic parts, providing statistics on its global economic costs and impacts. It defines counterfeit parts and gives examples of different types. The presentation covers the scope and sources of counterfeiting, consequences for products and missions, and proposes solutions like the SAE AS5553 standard and increased cooperation across industries.
Intel is a US-based semiconductor company founded in 1968. It produces microprocessors, chipsets, flash memory and other products. Intel was initially successful with memory chips but lost market share to Japanese competitors in the 1980s due to stronger manufacturing capabilities. It exited the memory business in 1985. Intel transitioned its focus to microprocessors and used strategic branding and partnerships to dominate the microprocessor market, gaining over 80% share.
Mission Possible: You Can Achieve Touchless Invoice ProcessingSAP Ariba
Ìý
If you’re ready to move on from paper invoice processing, this session is for you. Hear from customers who have automated their accounts payable operations to accelerate invoice processing, eliminate invoice errors and exceptions, improve on-time payment performance, and improve compliance. With a smart invoicing approach, you can free up accounts payable resources to focus on higher-value activities that help improve business performance.
The document discusses ASML's use of Sirius and model-driven engineering to develop its Multi-Disciplinary System Engineering (MDSE) ecosystem. It describes ASML's adoption of the Data-Control-Algorithm (DCA) architectural pattern to simplify its software architecture. It also outlines ASML's Software Modeling Environment (ASOME), which uses a family of domain-specific languages developed with Sirius to model different aspects of systems in their optimal forms and support integration. The document shares some ideas for improving Sirius' support for reuse, textual syntax, and customization of styles.
Public Presentation, ASML EUV forecast Jul 2010JVervoort
Ìý
The document discusses progress on EUV lithography systems for semiconductor manufacturing. It outlines ASML's lithography roadmap to support Moore's Law with EUV technology. It describes the status of their 0.25NA and 0.32NA EUV systems, including resolution improvements achieved and integration progress. It provides outlook on their EUV roadmap and future systems aimed at 16nm nodes and beyond.
The document discusses the challenges of integrating electronics for complex systems as semiconductor technology advances according to Moore's law. It describes how Electronic Development addresses this challenge through an organizational structure of functional clusters, building blocks, and platforms to manage complexity and enable parallel development. The goal is fast and predictable integration through well-defined interfaces and early integration testing to reduce problems during system realization.
Basic introduction to solar PV System Presentation.
The need for renewable energy resources has never been bigger than today and so is a lot of research going to match this high energy demand. Solar PV Array technology is one such technique which can actually make the effective use of solar energy available to us.
SiriusCon2016 - Une plateforme de modelisation support au PLM de l'ingenierie...Obeo
Ìý
>> These slides were presented (in french) at SiriusCon Paris 2016, on November 15th, by Ludovic LOUIS-SIDNEY 'EDF) and Ludovic CHAMPEAU (EDF)
EDF nuclear Engineering is involved in a PLM approach partly based on the implementation of a data centric process.
This approach requires to control an important volume of technical data during the whole lifecycle of the nuclear power plant.
Usage of a collaborative modeling platform, aiming at processes and technical data elucidation, is a performance lever for Business analyst and Developers (improve functional specifications consistency, facilitate information system configuration).
Only 9% of companies use sound branding but Intel has successfully used its distinctive startup sound for years. The Intel Inside campaign aimed to educate consumers about Intel's superior microprocessors without technical jargon. It helped Intel differentiate its products from clones, increased awareness of Intel logos from 24% to 94%, and boosted worldwide sales 63% within a year of the campaign. The strategy established Intel as a reliable, high-quality brand and propelled it into the top 10 most valuable brands by 2002.
The Intel Inside campaign was highly successful for Intel. It increased Intel's market capitalization from $1 billion to $5 billion by 2003 and worldwide sales rose 63% in its first year. The campaign made Intel synonymous with processors and helped launch new chip lines. It simplified an understanding of chips for customers. However, the campaign also made diversification difficult without changing the theme. For AMD, copying the campaign would not be as effective since Intel had already established brand recognition, so AMD should focus on value, efficacy, and low-cost markets. Intel segmented based on performance and price to target different market segments.
ProSIM has been providing engineering design, and R&D services to OEMs, Operators, EPC contractors, System Integrators and vendors of nuclear power sector. ProSIM has assisted in the design and seismic evaluation/ analysis of systems, structures and components (SSCs) of nuclear power plants (NPP). Driven by its competence and focus on quality and project management processes, ProSIM has delivered value to its customers. ProSIM has interacted with regulatory bodies and code committees related to nuclear design codes. Methodologies for seismic analysis of mechanical equipment (rotary and static), electrical engineering, instrumentation and control, and structures have been developed by ProSIM using ASME boiler and pressure vessel (B&PV), RCC, IEEE, ASCE and similar codes. Several hundreds of reports of seismic analysis/ evaluation submitted by ProSIM have been approved by the operators or regulatory bodies. ProSIM has taken up several detailed engineering projects. Worked on design optimisation of structures/ equipment, pipelines, supports etc. ProSIM has also supported seismic qualification of equipment/ systems by physical testing by coordinating with agencies.
In addition to the seismic analysis during engineering stage for structural integrity assessment, ProSIM has worked on seismic margin assessment, seismic re-evaluation, fitness for service (FFS), remaining life assessment and extension (RLA/RLE), and failure analysis.
The document announces an upcoming industry-academia conclave with the theme of emerging materials and methods for manufacturing and construction. It outlines several thrust areas and challenges identified by the departments of mechanical engineering and civil engineering at the conclave. These include statistical quality control, renewable energy, construction materials, and structural engineering. It also lists some of the ongoing research projects in these areas, such as geopolymer concrete, solar energy utilization, and seismic analysis of buildings.
John Chu has over 30 years of experience in the semiconductor and solar industries as a project manager, design engineer, and test engineer. He has designed and troubleshot etch, deposition, lithography, and metrology equipment. Most recently, he was a test technician at Lam Research and a senior design engineer at Applied Materials, where he worked on solar cell manufacturing equipment. He has a B.S. in electrical engineering and is fluent in Mandarin Chinese.
Chad Stewart holds a Bachelor's degree in Aerospace Engineering and has experience as an Electronics Technician in the Navy where he held a secret security clearance. He has skills in Matlab, SolidWorks, ANSYS, STK, Python, and electronics test equipment. His experience includes projects involving satellite design, robotics programming, 3D printing, and lab work analyzing communication systems and transonic aerodynamic flows. He currently works as a Research Assistant designing sensors and was a Teaching Assistant for a Thermofluids course.
Pro sim nuclear offerings engineering design-siesmic-qualificationProSIM R & D Pvt. Ltd.
Ìý
We @ ProSIM study in an integrated manner, the interactions between design, materials, manufacturing process and performance.
ProSIM is a total Engineering Solution Provider, providing collaborative engineering and R&D services in product and process design / development / re-engineering / analysis and optimization.
Autocad, solidworks, creo and ansys portfolio for slideshareRahul Gupta
Ìý
this is a mechanical design engineer portfolio presentation.
In this present includes autocad drawing, solidworks models, PTC creo models and ansys simulation and analysis. It have also 3d printing process and 3d print sample.
This curriculum vitae summarizes Steve Jurovich's extensive experience over 38 years in test engineering roles, with a focus on design and implementation of test systems using LabVIEW. He has worked on projects in aerospace, defense, optics, lasers, communications and more. His background includes experience in test planning, instrumentation, data acquisition, analysis and documentation. He is seeking a role as a primary contributor on an R&D technical staff where he can apply his diverse test engineering expertise.
Presenter - Prof Simon J. Cox from the Computational Engineering Design Research Group (CED) with describe The use of Super Computers for Design optimisation. The CED is a centre of excellence for multi-disciplinary engineering simulation and design which combines together a range of analytical, computational, and experimental techniques.
Gaurav Chaudhary is seeking a full time position in mechanical engineering. He has a Master's degree in mechanical engineering from Texas A&M University with a 3.7 GPA and a Bachelor's degree in mechanical engineering from Panjab University in India with a 3.9 GPA. His relevant experience includes graduate research on CFD analysis of labyrinth seals and turbomachinery design projects involving compressor and turbine blade design and CFD analysis.
Jim Bretl is an experienced engineer with a PhD in naval architecture and marine engineering. He has over 15 years of experience in modeling, designing, and testing ocean systems, with a focus on wave energy conversion devices. His skills include hydrodynamic analysis, mechanical design, model testing, control system development, and project management. He currently works as a senior hydrodynamicist for Ocean Power Technologies, where he leads numerical modeling and physical testing projects.
NASA
National Aeronautics and Space Administration
NASA Multiscale Analysis Tool (NASMAT)
Robust, Integrated, Physics-based, Non-linear, Variable Fidelity Modeling of
Multi-phased Materials and Structures
By
Dr. Pankaj Dhussa
Nathaniel Brewster Thompson is a Mechatronics Engineer II at NASA's Jet Propulsion Laboratory with over 10 years of experience managing mechanical design projects. He has extensive experience leading teams to design, analyze, fabricate, test and deliver mechanical subsystems for Mars rovers and other NASA projects. His background includes expertise in CAD, FEA, controls systems, metrology and cleanroom operations.
Michael K Bartosewcz is seeking a leadership position as a Senior Systems EO/IR Engineer and/or Program Manager on an EO/IR space flight hardware/software/algorithm program. He has significant experience in systems engineering and program management for C4ISR systems including SBIRS High, ABL, IKONOS, U-2 Multispectral Camera, and more. He has expertise in requirements analysis, system architecture analysis, interface definition, mission analysis, certification as a Thermal Vacuum/Vibration Test Engineer, and program/project management. He has a BS in Electrical Engineering from the University of Vermont and an MS in Physics from the University of Vermont.
Computer architecture has applications in engineering design and automation, energy resources exploration, and remote sensing. It is used for finite element analysis of complex structures, computational aerodynamics simulations, seismic data processing for oil and gas exploration, and modeling nuclear fusion and reactor safety and control. Future applications include using very powerful computers for direct human-computer interaction through speech and images.
Ed Salter is a mechanical engineer seeking contract work in Southern California. He has a B.S. in Mechanical Engineering and over 30 years of experience in 3D CAD design, engineering analysis, manufacturing automation, electromechanical systems, plastics and composites design, rapid prototyping and material selection. His background includes work on wind turbines, medical devices, aerospace components, manufacturing machinery and more.
Brussels Wind Energy Research Institute is joining the efforts of several research groups active in the field of wind energy. Its research program covers several aspects of modern wind turbine technology.
FAQCIL provides engineering design, research and development, and logistics services. It has experience in aerospace, naval, railway, and other fields. FAQCIL has a team with over 20 years of experience in industrial and research activities and works with young talents.
Assystem is an international engineering consultancy group with over 1500 engineers in the UK. The Energy & Nuclear division has over 40 years of experience in power generation, oil & gas, aerospace, automotive, defense and other industries. It provides full life cycle engineering services from concept design to operation and maintenance. Finite element analysis is carried out as part of both standalone projects and larger projects, with design and analysis engineers working together.
Prem D is seeking a career in aerospace, mechanical, or automotive engineering. He has over 2 years of experience conducting CFD and molecular dynamics simulations. He holds an M.E. in space engineering and rocketry and a B.E. in aeronautical engineering. His past work includes research projects studying gas transport in nanochannels, drag reduction techniques for aircraft, and supersonic flow over re-entry vehicles. He is proficient in simulation tools like FLUENT, LAMMPS, and CAD software.
1. Review of Relevant Experiences
Space Turbomachinery Semiconductor Automotive BioMedical Fuel Cells
V. Kudriavtsev, Ph.D.
September 28th, 2007
2. Various Fields - Two
Commonalities
semiconductor, aeropropulsion, biomed,
space systems, automotive, energy
• Combination of hands-on approach to engineering
and testing with analytical tools for analysis, design
and measurements
• Complex multi-physics systems based on
interactions of fluids with other media and physical
fields (electrical, chemical, magnetic, structural,
thermal) in steady state and dynamic situations
3. Birds Eye View
B&C Engineering
Management Principal Investigator SBIR (I & II) 4th State Technology – Start-up
Project management Plasma Source Development
High RPM Test Bench; Hybrid (Gen1-III)
Floating Mechanical Seal Design & LCD Etch Tool
Test
University of Akron, Ohio
Biosignetics Corp – Start-up
Department of Mechanical
Engineering, NASA Lewis and
Computer-based diagnostic
US Army Research Labs, Propulsion
system; sound-vibration data
Directorate
acquisition and software based
analysis (real time & post)
Brush Seals, Fluid Mechanics, CFD,
System Engineering & Testing
Oil Tunnel Laser Flow Visualization
Moscow Aviation Institute ORVA SPACE Start-up
CFD Research Corporation
College of Space Systems da Vinci Space Project
Engineering Consulting &
Engineering
Business Development
Hybrid rocket engine
Spacecraft thermal design, Rocket & Space Capsule Structural
Multi-Physics, Semiconductor
Rocket structures, heat Engineering
Equipment (AMAT, LAM …
exchangers, natural convection System Engineering
Watkins-Johnson Company
Core Engineering – Thermal and CFD CANADA
Military Service Process Engineering, Sr. MTS Fuel Cells (Ballard, HPower, Stuart
Electrician – Maintenance & Energy, Hydrogenics, Global
Troubleshooting WJ1500, APNext -new Thermoelectric), Plasma Systems,
WJ3000, WJ 2000 HDP Ventilation and Air Conditioning,
WJ999/1000 upgrades CPIP Aerospace, Seals, MEMS, BioMed
Research/academic Product Development Engineering Consulting
4. Moscow Aviation Institute
Studies:
Dept. of Space Systems
Engineering, co-op
studies (1983-89)
Degree Engineer
(MS, BS) 1989
Project: Nuclear Powered Hydrogen/Oxygen
Refueling Station
Emphasis on Systems Engineering, Conceptual
design, thermal design
Dept. of Space Engines,
School of Engine
Technology
Part-time, (1990-93)
PhD: Computational and Experimental Studies of
Brush Seals for Turbomachinery Applications
5. Military Service
85-87
Electrician, Line Maintenance & Repair at the rocket military plant,
rifle platoon
-high voltage/current (AC 3000V) barb wire electrical fences
-perimeter illumination system (incandescent lamps)
-diesel electric back-up power station & cooling system
-telephone system
-low voltage early warning – 21 strand detection intruder deterrent
systems, electrical bridge, charged 600V
-designed and built central control system
-11 certificates of invention (innovation) -fix things on the fly
-build things that do not break
and can not be broken
6. Moscow Aviation Institute
Department of Space Systems Engineering, Thermal
Engineering Group: 1983-85; 87-1990
Research Assistant, Engineer, Research Scientist
• Thermal Design – Spacecrafts (radiation,
natural and forced convection, conduction
and thermal insulation)
• Heat exchangers
• Cryogenic liquid storage, empirical models
for natural convection heat transfer,
computational solution of Navier Stokes
equations
Intense learning, emphasis on system level thermal
engineering
7. 1990-94
Akron, Ohio
NASA Lewis and Department of Army Sponsored study of Fluid
Flow in Brush Seals to benefit advanced aeropropulsion
Development of computer code
(CFD) for hydraulic analysis of
brush seals and turbine
secondary flow systems cavities
with brush seals
Experimental pressure
measurements, laser flow
]
visualization – oil test channel
Emphasis on complex fluid flows and fluid structure
interactions, experimental measurements of fluid
flows, CFD development
8. B&C Engineering
94-95
NASA funded SBIR – Phases I and II – Hybrid Floating Brush Seal,
Principal investigator, Sr. Engineer
Designed, built and tested new type of compliant seal
for turbomachinery: combination of compliant brush
seal with a floating (self-lubricated) spiral groove
mechanical seal. Speeds 5000-12,000 RPM, 80 psig.
Seal was patented.
From the concept to the proof of feasibility in 6 months.
Seal build and tested. Test bed with instrumentation built
and tested. NASA milestones were met & Phase II was
granted.
Focus on aeropropulsion, air breathing jet engines,
lubrication and sealing, high pressure low pressure
zones, mechanical seals
9. Watkins Johnson Company
1995-98
Atmospheric Pressure Thermal CVD – SiO2 deposition using
Silane, TEOS, Ozone – Sr. Member of Technical Stuff, Thermal and
Process Engineer, Core Engineering (300MM revenue)
WJ-999 and WJ-1000 – Continuous product
improvement – engineering upgrades;
from concept to completion, customer beta
testing; process optimization support;
advanced product characterization
Fast Heat-up upgrade, reduced heat-up from 5-7
hours to 45 minutes
WJ-3000 – High Density plasma deposition
tool, prototype development, concept
evaluation, beta site support
WJ-1500 – full product cycle, from the concept
to implementation, testing and customer sales
Advanced APCVD system that improved
uniformity x2 – designed new process
Emphasis on chemical processing, chamber configuration using CFD
chemical reactions, product design and testing
10. CFDRC
1998-00
West Coast Branch Manager – Principal Engineer, CFD based thermo-fluid
and process engineering consulting & software sales
Complex chemistry, plasma, electical and
electromagnetic fields, flow distribution
and delivery, chamber, injector and manifold
design optimization
Semiconductor Equipment and Wafer
Processing Companies – Applied Materials,
Novellus, Gasonics, Mattson Technologies,
Tokyo Electron, Silicon Valley Group,
INTEL, Matshushita Panasonic, ALCATEL,
UNAXIS, LSI Logic, Torrex, Mattson, Samsung
Developed new vertical product – Virtual Reactor
VReactor and Virtual Process VProcess
Product manager for the new product – CFD-
PLASMA, from the concept to sales (99-2003) – 1.5
million revenue, 50+ licenses in industry, 100+
Universities
Emphasis on working with customers, driving consulting and software
sales revenue; working in a branch, communication with headquarters
11. CFD CANADA & Fuel Cells
2000-04
Principal Engineer and Managing director –offices Toronto & Montreal
Thermo-fluid Engineering Consulting
Fuel Cell companies – Ballard,
HPower, Stuart Energy, Hydrogenics,
GlobalTE, Hyteon - CFD process simulation,
stack thermo-flow design and evaluation
Developed new vertical demo product –
Virtual Stack Simulator
Plasma Software Product management –
worldwide operations for CFDRC
Consulting projects for automotive
applications – dynamic pressure fluctuations
in the exhaust, GM car paint booth
troubleshooting, car ventilation and air
conditioning
Wholly owned small business, emphasis on serving customers
worldwide and on Canadian Fuel Cell Development
13. ORVA Space – Canada
XPrize Cup, NM
Next to Rutan’s Space Ship I. Only Two teams were
approved for Space Launch. da Vinci Project and
Space Ship One.
14. Orva Space - Canada
2001-05
Start-up – Commercial Space Tourism and X Prize competition
Chief Engineer, Team Leader- Engineering and R&D (18 reports)
From the drawing board, to manufacturing and
testing. Structural design, manufacturing
(carbon fiber composites), engine design and
flow delivery from 850 psi N2O tank, engine
firings, systems engineering, product
integration, sponsor presentations
Hands-on Engineering Management of large and diverse engineering
group working in a bootstrap mode, intense exposure to mass media
16. Biosignetics Corp 2005-07
VP Engineering, co-founder. Cardiovascular diagnosis using advanced
fluid mechanics, acoustics and signal processing.
• Two software products with complete product cycle: from
inception/design control stage to customer evaluation and subsequent
sales. Developed within ISO and Good Manufacturing
practice guidance. Several versions released, dozens of licenses sold.
FDA clearance secured. Patents and inventions filed.
• Field Tests and clinical data collection
• Searching for Venture funding, presentations to VCs, business plan
competitions, Advanced Technology Program (ATP), etc.
Implementing your own vision and strategy in a bootstrap
mode
17. 4th State Technology
Engineering Assembly, Production and Test Facility
Engineering Assembly and Test
Laboratory
19. 4th State Technology 2006
Project Manager and Chief Engineer (5 reports), report to President and CEO
Responsible for beta tool design, assembly,
testing and etch process verification
Responsible for atmospheric pressure plasma
source development (plasma torch,
plasmatron) – Three Generations in parallel
with beta tool design
Tool design to final test: 3 months
Three generations of plasma sources in 6
months; 3 plasma test installations built,
one full lab re-location, lab re-built from the
ground up.
Invented new way of plasma thermal
stabilization to meet reliability benchmarks.
All from concept to final hardware to meet benchmark milestones
and satisfy investors